CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:vhdl

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    249.12kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

学习vhdl硬件描述语言的一些例子的原代码-VHDL hardware descr iption language to learn some examples of the original code
(系统自动生成,下载前可以参看下载内容)

下载文件列表

fpga_sample_program/cpld/11/butterfly1.vhd
fpga_sample_program/cpld/11/ccmul.vhd
fpga_sample_program/cpld/11/filfiter1.quartus
fpga_sample_program/cpld/11/firfilter.quartus
fpga_sample_program/cpld/11/firfilter.vhd
fpga_sample_program/cpld/11/firfilter1.vhd
fpga_sample_program/cpld/11/fir_lut/control.vhd
fpga_sample_program/cpld/11/fir_lut/filter.vhd
fpga_sample_program/cpld/11/fir_lut/lut.vhd
fpga_sample_program/cpld/11/fir_lut/shift_add.vhd
fpga_sample_program/cpld/11/iirfilter.quartus
fpga_sample_program/cpld/11/iirfilter.vhd
fpga_sample_program/cpld/11/music/clk.vhd
fpga_sample_program/cpld/11/music/division1.vhd
fpga_sample_program/cpld/11/music/div_sheng.vhd
fpga_sample_program/cpld/11/music/tone.vhd
fpga_sample_program/cpld/11/readme.txt
fpga_sample_program/cpld/11/sound comm/AD.sym
fpga_sample_program/cpld/11/sound comm/ad.vhd
fpga_sample_program/cpld/11/sound comm/CDELED10.sym
fpga_sample_program/cpld/11/sound comm/cdeled10.vhd
fpga_sample_program/cpld/11/sound comm/CSOUT.sym
fpga_sample_program/cpld/11/sound comm/csout.vhd
fpga_sample_program/cpld/11/sound comm/DECODER1.sym
fpga_sample_program/cpld/11/sound comm/decoder1.vhd
fpga_sample_program/cpld/11/sound comm/DIVIDER.sym
fpga_sample_program/cpld/11/sound comm/divider.vhd
fpga_sample_program/cpld/11/sound comm/FC.sym
fpga_sample_program/cpld/11/sound comm/fc.vhd
fpga_sample_program/cpld/11/sound comm/MUL2WR.sym
fpga_sample_program/cpld/11/sound comm/mul2wr.vhd
fpga_sample_program/cpld/11/sound comm/MUL3.sym
fpga_sample_program/cpld/11/sound comm/mul3.vhd
fpga_sample_program/cpld/11/练习/comm_buff.gdf
fpga_sample_program/cpld/11/练习/comm_buff.sym
fpga_sample_program/cpld/11/练习/CONSTANT.sym
fpga_sample_program/cpld/11/练习/constant.vhd
fpga_sample_program/cpld/11/练习/CONSTANT_inst.vhd
fpga_sample_program/cpld/11/练习/DFF.sym
fpga_sample_program/cpld/11/练习/DFF.vhd
fpga_sample_program/cpld/11/练习/DFF_1.sym
fpga_sample_program/cpld/11/练习/DFF_1.vhd
fpga_sample_program/cpld/11/练习/DFF_1_inst.vhd
fpga_sample_program/cpld/11/练习/dff_8.sym
fpga_sample_program/cpld/11/练习/dff_8.vhd
fpga_sample_program/cpld/11/练习/DFF_81.sym
fpga_sample_program/cpld/11/练习/DFF_81.vhd
fpga_sample_program/cpld/11/练习/DFF_81_inst.vhd
fpga_sample_program/cpld/11/练习/dff_8_inst.vhd
fpga_sample_program/cpld/11/练习/DFF_inst.vhd
fpga_sample_program/cpld/11/练习/DIVISION.sym
fpga_sample_program/cpld/11/练习/division.vhd
fpga_sample_program/cpld/11/练习/fbc.gdf
fpga_sample_program/cpld/11/练习/fbc.sym
fpga_sample_program/cpld/11/练习/fbc_rom.sym
fpga_sample_program/cpld/11/练习/fbc_rom.vhd
fpga_sample_program/cpld/11/练习/fbc_rom_inst.vhd
fpga_sample_program/cpld/11/练习/FC.sym
fpga_sample_program/cpld/11/练习/fc.vhd
fpga_sample_program/cpld/11/练习/filter.gdf
fpga_sample_program/cpld/11/练习/filter.sym
fpga_sample_program/cpld/11/练习/g8k.gdf
fpga_sample_program/cpld/11/练习/g8k.sym
fpga_sample_program/cpld/11/练习/l.sym
fpga_sample_program/cpld/11/练习/L1.sym
fpga_sample_program/cpld/11/练习/L1.vhd
fpga_sample_program/cpld/11/练习/L1_inst.vhd
fpga_sample_program/cpld/11/练习/LPM_CONSTANa.sym
fpga_sample_program/cpld/11/练习/lpm_constana.vhd
fpga_sample_program/cpld/11/练习/LPM_CONSTANa_inst.vhd
fpga_sample_program/cpld/11/练习/MUX2_1.sym
fpga_sample_program/cpld/11/练习/mux2_1.vhd
fpga_sample_program/cpld/11/练习/MUX8_2.sym
fpga_sample_program/cpld/11/练习/mux8_2.vhd
fpga_sample_program/cpld/11/练习/paly_buff.gdf
fpga_sample_program/cpld/11/练习/paly_buff.sym
fpga_sample_program/cpld/11/练习/playwave.gdf
fpga_sample_program/cpld/11/练习/playwave.sym
fpga_sample_program/cpld/11/练习/playwave1.gdf
fpga_sample_program/cpld/11/练习/readme.txt
fpga_sample_program/cpld/11/练习/receive.cpp
fpga_sample_program/cpld/11/练习/RXCVER.sym
fpga_sample_program/cpld/11/练习/rxcver.vhd
fpga_sample_program/cpld/11/练习/rxt.vhd
fpga_sample_program/cpld/11/练习/send.cpp
fpga_sample_program/cpld/11/练习/terminal_count.vhd
fpga_sample_program/cpld/11/练习/test.gdf
fpga_sample_program/cpld/11/练习/TESTDA.sym
fpga_sample_program/cpld/11/练习/testda.vhd
fpga_sample_program/cpld/11/练习/testg8k.gdf
fpga_sample_program/cpld/11/练习/tff_1.gdf
fpga_sample_program/cpld/11/练习/TFF_1.sym
fpga_sample_program/cpld/11/练习/TFF_1.vhd
fpga_sample_program/cpld/11/练习/TFF_1_inst.vhd
fpga_sample_program/cpld/11/练习/TXMIT.sym
fpga_sample_program/cpld/11/练习/txmit.vhd
fpga_sample_program/cpld/11/练习/TXMITTEST.sym
fpga_sample_program/cpld/11/练习/txmittest.vhd
fpga_sample_program/cpld/11/练习/UART.sym
fpga_sample_program/cpld/11/练习/uart.vhd
fpga_sample_program/cpld/5/ADDER4BIT.sym
fpga_sample_program/cpld/5/adder4bit.vhd
fpga_sample_program/cpld/5/adder8bit.gdf
fpga_sample_program/cpld/5/adder8bit.vhd
fpga_sample_program/cpld/5/mux2_1.gdf
fpga_sample_program/cpld/5/mux2_1.vhd
fpga_sample_program/cpld/5/readme.txt
fpga_sample_program/cpld/5/练习/mul16.vhd
fpga_sample_program/cpld/5/练习/reg32bit.gdf
fpga_sample_program/cpld/5/练习/reg32bit.vhd
fpga_sample_program/cpld/6/cnt6.bdf
fpga_sample_program/cpld/6/counter60.vhd
fpga_sample_program/cpld/6/readme.txt
fpga_sample_program/cpld/6/练习/count60.bdf
fpga_sample_program/cpld/第10章/DDS/DDS_DDS.sym
fpga_sample_program/cpld/第10章/DDS/DDS_DDS.VHD
fpga_sample_program/cpld/第10章/DDS/DDS_DDS_ROM.sym
fpga_sample_progra

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com