CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:AM

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    1.61mb
  • 已下载:
    1次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

FPGA内AM调制工程。内带调制波、载波生成。关键词:FPGA verilog AM DDS-AM modulation works within the FPGA. Within the band modulation wave generated carrier. Key words: FPGA verilog AM DDS
(系统自动生成,下载前可以参看下载内容)

下载文件列表

AM/AM.asm.rpt
AM/AM.done
AM/AM.eda.rpt
AM/AM.fit.rpt
AM/AM.fit.smsg
AM/AM.fit.summary
AM/AM.flow.rpt
AM/AM.map.rpt
AM/AM.map.summary
AM/AM.pin
AM/AM.pof
AM/AM.qpf
AM/AM.qsf
AM/AM.qws
AM/AM.sim.rpt
AM/AM.sof
AM/AM.tan.rpt
AM/AM.tan.summary
AM/AM.v
AM/AM.v.bak
AM/AM.vwf
AM/CarrierWave.v
AM/CarrierWave.v.bak
AM/db/altsyncram_8n31.tdf
AM/db/AM.(0).cnf.cdb
AM/db/AM.(0).cnf.hdb
AM/db/AM.(1).cnf.cdb
AM/db/AM.(1).cnf.hdb
AM/db/AM.(2).cnf.cdb
AM/db/AM.(2).cnf.hdb
AM/db/AM.(3).cnf.cdb
AM/db/AM.(3).cnf.hdb
AM/db/AM.(4).cnf.cdb
AM/db/AM.(4).cnf.hdb
AM/db/AM.(5).cnf.cdb
AM/db/AM.(5).cnf.hdb
AM/db/AM.(6).cnf.cdb
AM/db/AM.(6).cnf.hdb
AM/db/AM.(7).cnf.cdb
AM/db/AM.(7).cnf.hdb
AM/db/AM.(8).cnf.cdb
AM/db/AM.(8).cnf.hdb
AM/db/AM.asm.qmsg
AM/db/AM.cbx.xml
AM/db/AM.cmp.bpm
AM/db/AM.cmp.cdb
AM/db/AM.cmp.ecobp
AM/db/AM.cmp.hdb
AM/db/AM.cmp.logdb
AM/db/AM.cmp.rdb
AM/db/AM.cmp.tdb
AM/db/AM.cmp0.ddb
AM/db/AM.cmp_bb.cdb
AM/db/AM.cmp_bb.hdb
AM/db/AM.cmp_bb.logdb
AM/db/AM.cmp_bb.rcf
AM/db/AM.dbp
AM/db/AM.db_info
AM/db/AM.eco.cdb
AM/db/AM.eda.qmsg
AM/db/AM.eds_overflow
AM/db/AM.fit.qmsg
AM/db/AM.hier_info
AM/db/AM.hif
AM/db/AM.map.bpm
AM/db/AM.map.cdb
AM/db/AM.map.ecobp
AM/db/AM.map.hdb
AM/db/AM.map.logdb
AM/db/AM.map.qmsg
AM/db/AM.map_bb.cdb
AM/db/AM.map_bb.hdb
AM/db/AM.map_bb.logdb
AM/db/AM.pre_map.cdb
AM/db/AM.pre_map.hdb
AM/db/AM.psp
AM/db/AM.pss
AM/db/AM.rtlv.hdb
AM/db/AM.rtlv_sg.cdb
AM/db/AM.rtlv_sg_swap.cdb
AM/db/AM.sgdiff.cdb
AM/db/AM.sgdiff.hdb
AM/db/AM.signalprobe.cdb
AM/db/AM.sim.cvwf
AM/db/AM.sim.hdb
AM/db/AM.sim.qmsg
AM/db/AM.sim.rdb
AM/db/AM.sld_design_entry.sci
AM/db/AM.sld_design_entry_dsc.sci
AM/db/AM.syn_hier_info
AM/db/AM.tan.qmsg
AM/db/mult_t4q.tdf
AM/db/prev_cmp_AM.asm.qmsg
AM/db/prev_cmp_AM.eda.qmsg
AM/db/prev_cmp_AM.fit.qmsg
AM/db/prev_cmp_AM.map.qmsg
AM/db/prev_cmp_AM.sim.qmsg
AM/db/prev_cmp_AM.tan.qmsg
AM/db/wed.wsf
AM/db
AM/ModulateWave.v
AM/ModulateWave.v.bak
AM/mult.v
AM/mult.v.bak
AM/prev_cmp_AM.qmsg
AM/simulation/modelsim/AM.vo
AM/simulation/modelsim/AM_modelsim.xrf
AM/simulation/modelsim/AM_tb.cr.mti
AM/simulation/modelsim/AM_tb.mpf
AM/simulation/modelsim/AM_tb.v
AM/simulation/modelsim/AM_tb.v.bak
AM/simulation/modelsim/AM_v.sdo
AM/simulation/modelsim/cyclone_atoms.v
AM/simulation/modelsim/vsim.wlf
AM/simulation/modelsim/work/@a@m/verilog.asm
AM/simulation/modelsim/work/@a@m/_primary.dat
AM/simulation/modelsim/work/@a@m/_primary.vhd
AM/simulation/modelsim/work/@a@m
AM/simulation/modelsim/work/@a@m_tb/verilog.asm
AM/simulation/modelsim/work/@a@m_tb/_primary.dat
AM/simulation/modelsim/work/@a@m_tb/_primary.vhd
AM/simulation/modelsim/work/@a@m_tb
AM/simulation/modelsim/work/@c@y@c@l@o@n@e_@p@r@i@m_@d@f@f@e/verilog.asm
AM/simulation/modelsim/work/@c@y@c@l@o@n@e_@p@r@i@m_@d@f@f@e/_primary.dat
AM/simulation/modelsim/work/@c@y@c@l@o@n@e_@p@r@i@m_@d@f@f@e/_primary.vhd
AM/simulation/modelsim/work/@c@y@c@l@o@n@e_@p@r@i@m_@d@f@f@e
AM/simulation/modelsim/work/cyclone_and1/verilog.asm
AM/simulation/modelsim/work/cyclone_and1/_primary.dat
AM/simulation/modelsim/work/cyclone_and1/_primary.vhd
AM/simulation/modelsim/work/cyclone_and1
AM/simulation/modelsim/work/cyclone_and16/verilog.asm
AM/simulation/modelsim/work/cyclone_and16/_primary.dat
AM/simulation/modelsim/work/cyclone_and16/_primary.vhd
AM/simulation/modelsim/work/cyclone_and16
AM/simulation/modelsim/work/cyclone_asmiblock/verilog.asm
AM/simulation/modelsim/work/cyclone_asmiblock/_primary.dat
AM/simulation/modelsim/work/cyclone_asmiblock/_primary.vhd
AM/simulation/modelsim/work/cyclone_asmiblock
AM/simulation/modelsim/work/cyclone_asynch_io/verilog.asm
AM/simulation/modelsim/work/cyclone_asynch_io/_primary.dat
AM/simulation/modelsim/work/cyclone_asynch_io/_primary.vhd
AM/simulation/modelsim/work/cyclone_asynch_io
AM/simulation/modelsim/work/cyclone_asynch_lcell/verilog.asm
AM/simulation/modelsim/work/cyclone_asynch_lcell/_primary.dat
AM/simulation/modelsim/work/cyclone_asynch_lcell/_primary.vhd
AM/simulation/modelsim/work/cyclone_asynch_lcell
AM/simulation/modelsim/work/cyclone_b17mux21/verilog.asm
AM/simulation/modelsim/work/cyclone_b17mux21/_primary.dat
AM/simulation/modelsim/work/cyclone_b17mux21/_primary.vhd
AM/simulation/modelsim/work/cyclone_b17mux21
AM/simulation/modelsim/work/cyclone_b5mux21/verilog.asm
AM/simulation/modelsim/work/cyclone_b5mux21/_primary.dat
AM/simulation/modelsim/work/cyclone_b5mux21/_primary.vhd
AM/simulation/modelsim/work/cyclone_b5mux21
AM/simulation/modelsim/work/cyclone_bmux21/verilog.asm
AM/simulation/modelsim/work/cyclone_bmux21/_primary.dat
AM/simulation/modelsim/work/cyclone_bmux21/_primary.vhd
AM/simulation/modelsim/work/cyclone_bmux21
AM/simulation/modelsim/work/cyclone_crcblock/verilog.asm
AM/simulation/modelsim/work/cyclone_crcblock/_primary.dat
AM/simulation/modelsim/work/cyclone_crcblock/_primary.vhd
AM/simulation/modelsim/work/cyclone_crcblock
AM/simulation/modelsim/work/cyclone_dffe/verilog.asm
AM/simulation/modelsim/work/cyclone_dffe/_primary.dat
AM/simulation/modelsim/work/cyclone_dffe/_primary.vhd
AM/simulation/modelsim/work/cyclone_dffe
AM/simulation/modelsim/work/cyclone_dll/verilog.asm
AM/simulation/modelsim/work/cyclone_dll/_primar

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com