CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:61EDA_D702

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    1.05mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

4位电子智能密码锁,基于VHDL语言设计,MAX+PLUSⅡ环境下实现-4 electronic smart locks, based on the VHDL design language, MAX+ PLUS Ⅱ environment to achieve
相关搜索: vhdl vhdl 密码锁 61eda

(系统自动生成,下载前可以参看下载内容)

下载文件列表

EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.(0).cnf.cdb
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.(0).cnf.hdb
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.asm.qmsg
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.cbx.xml
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.cmp.cdb
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.cmp.hdb
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.cmp.logdb
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.cmp.rdb
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.cmp.tdb
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.cmp0.ddb
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.dbp
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.db_info
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.eco.cdb
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.eds_overflow
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.fit.qmsg
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.hier_info
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.hif
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.map.cdb
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.map.hdb
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.map.logdb
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.map.qmsg
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.pre_map.cdb
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.pre_map.hdb
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.psp
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.pss
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.rtlv.hdb
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.rtlv_sg.cdb
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.rtlv_sg_swap.cdb
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.sgdiff.cdb
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.sgdiff.hdb
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.sim.cvwf
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.sim.hdb
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.sim.qmsg
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.sim.rdb
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.sld_design_entry.sci
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.sld_design_entry_dsc.sci
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.syn_hier_info
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/suocunqi4.tan.qmsg
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/db/wed.wsf
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/prev_cmp_suocunqi4.qmsg
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/suocunqi4.asm.rpt
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/suocunqi4.bsf
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/suocunqi4.done
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/suocunqi4.fit.rpt
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/suocunqi4.fit.summary
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/suocunqi4.flow.rpt
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/suocunqi4.map.rpt
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/suocunqi4.map.summary
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/suocunqi4.pin
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/suocunqi4.pof
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/suocunqi4.qpf
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/suocunqi4.qsf
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/suocunqi4.qws
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/suocunqi4.sim.rpt
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/suocunqi4.sof
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/suocunqi4.tan.rpt
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/suocunqi4.tan.summary
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/suocunqi4.vhd
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/suocunqi4.vhd.bak
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4SUOCUNQI_K/suocunqi4.vwf
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4suocunqi_m/db/suocunqi4_m.(0).cnf.cdb
EDA课程设计做的用VHDL写的智能电子密码锁/EDA_LOCK_ALL/EDA_LOCK_ALL/4suocunqi_m/db/suocu

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com