CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:C20_sram_vga

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    1.46mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

FPGA应用如sd卡控制,led控制,vga音频控制-Sd card FPGA applications such as control, led control, vga audio control
(系统自动生成,下载前可以参看下载内容)

下载文件列表

C20_sram_vga/.sopc_builder/install.ptf
C20_sram_vga/altpll0.bsf
C20_sram_vga/altpll0.v
C20_sram_vga/altpll0_bb.v
C20_sram_vga/altpll0_wave0.jpg
C20_sram_vga/altpll0_waveforms.html
C20_sram_vga/C20_sram_vga.asm.rpt
C20_sram_vga/C20_sram_vga.bdf
C20_sram_vga/C20_sram_vga.done
C20_sram_vga/C20_sram_vga.fit.eqn
C20_sram_vga/C20_sram_vga.fit.rpt
C20_sram_vga/C20_sram_vga.fit.summary
C20_sram_vga/C20_sram_vga.flow.rpt
C20_sram_vga/C20_sram_vga.map.eqn
C20_sram_vga/C20_sram_vga.map.rpt
C20_sram_vga/C20_sram_vga.map.summary
C20_sram_vga/C20_sram_vga.pin
C20_sram_vga/C20_sram_vga.pof
C20_sram_vga/C20_sram_vga.qpf
C20_sram_vga/C20_sram_vga.qsf
C20_sram_vga/C20_sram_vga.qws
C20_sram_vga/C20_sram_vga.sof
C20_sram_vga/C20_sram_vga.tan.rpt
C20_sram_vga/C20_sram_vga.tan.summary
C20_sram_vga/cpu_0.ocp
C20_sram_vga/cpu_0.v
C20_sram_vga/cpu_0_jtag_debug_module.v
C20_sram_vga/cpu_0_jtag_debug_module_wrapper.v
C20_sram_vga/cpu_0_ociram_default_contents.mif
C20_sram_vga/cpu_0_test_bench.v
C20_sram_vga/db/C20_sram_vga.db_info
C20_sram_vga/db/C20_sram_vga.eco.cdb
C20_sram_vga/db/C20_sram_vga.sld_design_entry.sci
C20_sram_vga/dma_0.v
C20_sram_vga/hdl/vga_controller_stream.v
C20_sram_vga/hdl/vga_pixel_fifo.v
C20_sram_vga/hdl/VGA_time.vhd
C20_sram_vga/ic_tag_ram.mif
C20_sram_vga/jtag_uart_0.v
C20_sram_vga/Nios.bsf
C20_sram_vga/Nios.ptf
C20_sram_vga/Nios.ptf.bak
C20_sram_vga/Nios.v
C20_sram_vga/Nios_generation_script
C20_sram_vga/Nios_log.txt
C20_sram_vga/Nios_setup_quartus.tcl
C20_sram_vga/Nios_sim/atail-f.pl
C20_sram_vga/Nios_sim/dummy_file
C20_sram_vga/Nios_sim/jtag_uart_0_input_mutex.dat
C20_sram_vga/Nios_sim/jtag_uart_0_input_stream.dat
C20_sram_vga/Nios_sim/jtag_uart_0_output_stream.dat
C20_sram_vga/pio_0.v
C20_sram_vga/pio_key.v
C20_sram_vga/pio_led.v
C20_sram_vga/rf_ram_a.mif
C20_sram_vga/rf_ram_b.mif
C20_sram_vga/sdram_0.v
C20_sram_vga/sdram_0_test_component.v
C20_sram_vga/setup.tcl
C20_sram_vga/software/VGA_MAIN/.cdtbuild
C20_sram_vga/software/VGA_MAIN/.cdtproject
C20_sram_vga/software/VGA_MAIN/.project
C20_sram_vga/software/VGA_MAIN/application.stf
C20_sram_vga/software/VGA_MAIN/Debug/cfi_flash_0.flash
C20_sram_vga/software/VGA_MAIN/Debug/generated_app.sh
C20_sram_vga/software/VGA_MAIN/Debug/makefile
C20_sram_vga/software/VGA_MAIN/Debug/obj/main.d
C20_sram_vga/software/VGA_MAIN/Debug/obj/main.o
C20_sram_vga/software/VGA_MAIN/Debug/subdir.mk
C20_sram_vga/software/VGA_MAIN/Debug/VGA_MAIN.elf
C20_sram_vga/software/VGA_MAIN/IMAG.H
C20_sram_vga/software/VGA_MAIN/main.c
C20_sram_vga/software/VGA_MAIN/nios2-gdb-server.exe.stackdump
C20_sram_vga/software/VGA_MAIN/readme.txt
C20_sram_vga/software/VGA_MAIN_syslib/.cdtbuild
C20_sram_vga/software/VGA_MAIN_syslib/.cdtproject
C20_sram_vga/software/VGA_MAIN_syslib/.project
C20_sram_vga/software/VGA_MAIN_syslib/Debug/crt0.d
C20_sram_vga/software/VGA_MAIN_syslib/Debug/crt0.o
C20_sram_vga/software/VGA_MAIN_syslib/Debug/libVGA_MAIN_syslib.a
C20_sram_vga/software/VGA_MAIN_syslib/Debug/makefile
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/altera_avalon_cfi_flash.d
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/altera_avalon_cfi_flash.o
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/altera_avalon_cfi_flash_amd.d
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/altera_avalon_cfi_flash_amd.o
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/altera_avalon_cfi_flash_intel.d
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/altera_avalon_cfi_flash_intel.o
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/altera_avalon_cfi_flash_table.d
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/altera_avalon_cfi_flash_table.o
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/altera_avalon_dma.d
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/altera_avalon_dma.o
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/altera_avalon_jtag_uart.d
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/altera_avalon_jtag_uart.o
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/altera_avalon_sysid.d
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/altera_avalon_sysid.o
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/alt_alarm_start.d
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/alt_alarm_start.o
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/alt_busy_sleep.d
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/alt_busy_sleep.o
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/alt_close.d
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/alt_close.o
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/alt_dcache_flush.d
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/alt_dcache_flush.o
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/alt_dcache_flush_all.d
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/alt_dcache_flush_all.o
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/alt_dev.d
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/alt_dev.o
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/alt_dev_llist_insert.d
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/alt_dev_llist_insert.o
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/alt_dma_rxchan_open.d
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/alt_dma_rxchan_open.o
C20_sram_vga/software/VGA_MAIN_syslib/Debug/obj/alt_dm

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com