CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 七段数码管

搜索资源列表

  1. leddisp

    0下载:
  2. 利用查表程序可以完成BCD与七段码的转换,从而取代硬件七段译码电路,查表程序本身并无复杂之处,需要注意的是七段码的取值,因为七段数码管有共阳极及共阴极之分(参考本站数码管的相关文章)。 共阳极是低电平有效时有效输入。共阴极是高电平时有效输入(所以在C51单片机要使发光二极管点亮,数码管是共阳极的就要让I/O口的电位变为低电位.如果是共阴极的就是合I/O口的电位变为高电位),因些不同的器件会有不同的数码值。另外引脚信号与码位的对应关系也会影响码值,即引脚可以由高到低排列(7-1),也可
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:610
    • 提供者:xidian
  1. SourceCode5_spi_7Seg_OK

    0下载:
  2. dsp设计 七段数码管显示实验文件 ccs开发环境 -dsp design documents seven segment digital display test development environment ccs
  3. 所属分类:DSP program

    • 发布日期:2017-04-06
    • 文件大小:532092
    • 提供者:孙静
  1. MCF51AC128SRC

    0下载:
  2. 51ac128的源代码,芯片是Freescale的MCF51ac128,代码包括AD,LED,按键,七段数码管,SD卡,网络,232,485,-51ac128 source code, the chip is Freescale' s MCF51ac128, the code including the AD, LED, button, seven segment LED, SD card, network, 232,485, etc.
  3. 所属分类:SCM

    • 发布日期:2017-05-18
    • 文件大小:4700619
    • 提供者:a
  1. Four-controllable-counter

    0下载:
  2. 功能是(用Verilog语言的,内有比较详细的注释): (1)计数器的功能是从0到9999计数,并能以十进制数的形式在七段数码管上显示出来(包括七段数码管显示模块). (2)该计数器有一个1个nclr和一个adj_plus端,在控制信号的作用下(见下表),计数器具有复位、增或减计数、暂停的功能。编写以上的程序的完整模块. 计数器的功能表 nclr adj_minus 功 能 0 0 复位为0 0 1 递增计数 1 0 递减计数 1 1 暂停计数 -Functi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1459783
    • 提供者:mowensui
  1. VHDL-ALARM

    1下载:
  2. 要求设计一个带闹钟功能的24小时计时器 它包括以下几个组成部分: ① 显示屏:4个七段数码管显示当前时间(时:分)或设置的闹钟时间;一个发光二极管以1HZ的频率跳动,用于显示秒; ② 按键key1,用于设置调时还是调分; ③ 按键key2,用于输入新的时间或新的闹钟时间,每按下一次,时或分加1; ④ TIME(时间)键,用于确定新的时间设置; ⑤ ALARM(闹钟)键,用于确定新的闹钟时间设置,或显示已设置的闹钟时间; ⑥ 扬声器,在当前时钟时间与
  3. 所属分类:软件工程

    • 发布日期:2017-03-26
    • 文件大小:259321
    • 提供者:洪巨成
  1. led

    0下载:
  2. 选用8253的计数器2进行100ms的定时,其输出 OUT2与8259的IRQ7相连,当定时到100 ms时产生一个中断信号,在中断服务程序中进行时、分、秒的计数,并送入相应的存储单元;8255的A口接七段数码管的位选信号,B口接数码管的段选信号,时、分的数值通过对8255的编程可送到七段数码管上显示。-2 for selection of 8253' s counter 100ms timing, the output OUT2 and 8259 IRQ7 linked to 100 m
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:1234
    • 提供者:金光
  1. lcdasegaled

    0下载:
  2. lcd显示 跑马灯显示 七段数码管计时 12232F是一种内置8192个16*16点汉字库和128个16*8点ASCII字符集图形点阵液晶显示器,它主要由行驱动器/ 列驱动器及128×32全点阵液晶显示器组成。可完成图形显示,也可以显示7.5×2个(16×16点阵)汉字.与外部CPU接口采用并行或串行方式控制。-lcd display Seven-Segment LED Display Marquee is a built-in timing 12232F 8192 16* 16 points
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1108387
    • 提供者:wws
  1. xxx

    0下载:
  2. 微机原理与接口技术的多个实验,包括七段数码管动态显示等-Microcomputer Principle and Interface Technology for several experiments, including the seven-segment LED dynamic display
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:6333
    • 提供者:houhan
  1. jishuqi

    0下载:
  2. 带计数使能、异步复位、带进位输出的增1六位二进制计数器,计数结果由共阴极七段数码管显示。用VHDL源代码描述-With count enable, asynchronous reset, brought by a six-bit output of the binary counter, counting the results from the common cathode seven segment LED display. Described with the VHDL source co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:10347
    • 提供者:小杰
  1. zonghejishiqi

    0下载:
  2. 综合性的计时系统,要求能实现年、月、日、时、分、秒及星期的计数等综合计时功能,同时将计时结果通过15个七段数码管显示,并且可通过两个设置键,对计时系统的有关参数进行调整。-Integrated timing system requirements to achieve the year, month, day, hour, minutes, seconds and weeks of counting time features such as integrated, while timing t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:855487
    • 提供者:林雨萱
  1. SHUMA

    0下载:
  2. 汇编 七段数码管 在键盘上输入数字或字母,在数码管上显示-Seven-Segment LED assembly on the keyboard to enter numbers or letters displayed on the digital
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:1063
    • 提供者:温宝奇
  1. projectCLOCK

    0下载:
  2. 基于uC-OSII的交通灯和时钟,用七段数码管显示相关指示信息-UC-OSII based traffic lights and the clock, seven-segment LED display with relevant instructions
  3. 所属分类:uCOS

    • 发布日期:2017-05-22
    • 文件大小:6703183
    • 提供者:zhouzhuai
  1. shumag

    0下载:
  2. VC++自做一个七段数码管译码程序 可形成C51和A51格式-VC++ to do a segment digital tube from the decoding process to form C51 and A51 format
  3. 所属分类:SCM

    • 发布日期:2017-05-08
    • 文件大小:1918632
    • 提供者:chen yao
  1. luoluo

    0下载:
  2. 关于七段数码管显示数据的的编程 初学者请多指教 -Seven-Segment LED
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1308668
    • 提供者:占雪妍
  1. Seg-Tube_Disaplay

    0下载:
  2. 七段数码管的显示驱动程序.分查表法和跳转法.采用松翰8位单片机汇编语言编写的子程序, 本人验证过.-seven_segment_tube_display.asm assembly with Sonix 8bit IC
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:2713
    • 提供者:ying wei
  1. 74HC164

    1下载:
  2. 74hc164驱动七段数码管的显示程序.采用松翰8位单片机汇编语言编写的子程序, 本人验证过. -74hc164_drv.asm assembly with Sonix 8bit IC
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1609
    • 提供者:ying wei
  1. qdq

    0下载:
  2. 89C51 1.基本功能: (1) 同时供8名选手比赛,分别用8个按钮S0 ~ S7表示。 (2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。 (3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,扬声器发出声响提示,并在七段数码管上显示选手号码。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 2.扩展功能: (1)抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。当主持人启动"开始"键后,定时器进行减计时。
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:190416
    • 提供者:han
  1. test4

    0下载:
  2. 4乘4键盘扫描的控制代码,可以实现对按键的七段数码管显示-4 by 4 keypad control code scanning, can be achieved on the seven-segment digital display keypad
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:228899
    • 提供者:zhangy
  1. Display

    0下载:
  2. 七段数码管显示, 七段数码管显示-Seven-Segment LED display, seven segment LED display, seven segment LED display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:305911
    • 提供者:HDC
  1. S3_SEG7DISP

    0下载:
  2. cyclone II EP2C8 对七段数码管的基本操作-cyclone II EP2C8 of the seven sections of the basic operation of digital
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:333852
    • 提供者:studystep0
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 27 »
搜珍网 www.dssz.com