CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 伪随机序列

搜索资源列表

  1. 18472582m_sequence_3

    0下载:
  2. 基于lfsr的伪随机序列发生器,带有游程检测-Pseudo-random sequence generator based on lfsr with run detection
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-11-21
    • 文件大小:849
    • 提供者:张振鹏
  1. TS_800Mbps

    0下载:
  2. 该压缩包是关于生成一段码率为800Mbps的ts流,其中有生成伪随机序列的matlab源代码-The compressed package is about to generate a rate 800Mbps TS stream, which is to generate pseudo random sequences of the matlab source code
  3. 所属分类:TCP/IP Stack

    • 发布日期:2017-11-10
    • 文件大小:7673
    • 提供者:liufang
  1. Beidou_satellite

    2下载:
  2. 北斗卫星产生伪随机码的程序,用以模拟输出伪随机序列-Beidou satellite program generates pseudo-random code to simulate the output of the pseudo-random sequence
  3. 所属分类:GPS develop

    • 发布日期:2014-09-01
    • 文件大小:8192
    • 提供者:张翔
  1. shuiyin

    0下载:
  2. LSB 方式信息隐藏算法鲁棒性较差,为加大水印攻击难度,可采用一段伪随机序列来控制水印嵌入位置。-LSB mode information hiding algorithm less robust, in order to increase the difficulty of watermarking attack, a pseudo-random sequence can be used to control the watermark embedding locations.
  3. 所属分类:source in ebook

    • 发布日期:2017-04-02
    • 文件大小:13490
    • 提供者:
  1. random

    0下载:
  2. 8位伪随机序列发生器。在通信加扰,序列检测中有很强的工程应用-8 pseudo-random sequence generator. In communications scrambling sequence detection has a strong engineering applications
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:726
    • 提供者:王建军
  1. ICECS08_Final

    0下载:
  2. 伪随机序列产生器,利用GOLLON 级联F-FCSR产生伪随机序列,FPGA实现功能,仿真,结果分析-Pseudo-random sequence generator using GOLLON cascade F-FCSR generate pseudo-random sequence, FPGA implementation function, simulation results analysis
  3. 所属分类:Project Manage

    • 发布日期:2017-03-29
    • 文件大小:241609
    • 提供者:李辛
  1. PRNG

    0下载:
  2. 基于FPGA伪随机序列产生器,GOLLMANN级联F-FCSR,产生伪随机序列-FPGA-based pseudo-random sequence generator, GOLLMANN cascade F-FCSR, generating pseudo-random sequence
  3. 所属分类:Video Capture

    • 发布日期:2017-03-24
    • 文件大小:1830
    • 提供者:李辛
  1. WM_generator

    0下载:
  2. 视频水印数据产生器,,利用伪随机序列调整有意义原始水印数据,从而产生具有随机性的,鲁棒性高的水印序列-Video watermark data generator, using a pseudo-random sequence to adjust the original watermark meaningful data, thereby generating a random, high robustness of the watermark sequence
  3. 所属分类:Video Capture

    • 发布日期:2017-03-30
    • 文件大小:28814
    • 提供者:李辛
  1. randomization

    0下载:
  2. 伪随机序列应用设计:利用verilog代码实现伪随机信号的产生-Pseudo-random sequence application design: the use of pseudo-random signals verilog code generation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:953
    • 提供者:祖兴水
  1. pseudo8

    0下载:
  2. 8位伪随机序列发生器设计,可以进行时序仿真和功能仿真-The design of 8 bits Pseudo-Random Binary Sequence,you can do Timing simulation and function simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:233959
    • 提供者:纪青禾
  1. m--Matlab-

    0下载:
  2. m伪随机序列Matlab源代码,很不错,值得-m pseudo-random sequence Matlab source code, very good, we can look at
  3. 所属分类:VxWorks

    • 发布日期:2017-04-01
    • 文件大小:4165
    • 提供者:David
  1. prbs_generator

    0下载:
  2. 改程序可以实现任意阶的伪随机序列的产生,并且以文本格式保存起来,方便查看和调用-Reform program can generate pseudo-random sequence of arbitrary order, and saved in text format for easy viewing and calling
  3. 所属分类:Special Effects

    • 发布日期:2017-04-07
    • 文件大小:1192
    • 提供者:刘彬
  1. random

    0下载:
  2. 伪随机序列应用设计,应用与产生伪随机序列,FPGA实现-Pseudo-random sequence application design, application and generate a pseudo-random sequence, FPGA realization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:873
    • 提供者:吴敏
  1. m_xulie

    0下载:
  2. 1.掌握PN序列的相关知识,掌握m序列的产生原理及其在matlab中的产生方法,对特定长度的m序列,分析其性质,及其用来构造其它序列的方法。2.研究伪随机序列在跳频通信中的应用方法。-1. Acquire the relevant knowledge PN sequence generated grasp the principle of m sequences and generation method in matlab, m sequence of a specific length, a
  3. 所属分类:matlab

    • 发布日期:2017-04-07
    • 文件大小:576
    • 提供者:宋杭科
  1. m_sequence_fpga

    0下载:
  2. 采用Verilog语言编写的伪随机序列——m序列,可用作通信系统输入数据源。-Use Verilog language- m sequence pseudo random sequence, and can be used as input data sources in communication system.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:387680
    • 提供者:qiaofeng
  1. generateCAcode

    0下载:
  2. c/a码的生成,生成伪随机序列,主频1.023e6Hz-generate c/a code
  3. 所属分类:source in ebook

    • 发布日期:2017-04-12
    • 文件大小:829
    • 提供者:哈内媚
  1. pseudo-sequence

    0下载:
  2. 通信中常用伪随机序列matlab仿真程序,通过在MATLAB环境下对常用的几种伪随机序列的仿真及性能分析,进而运用组合序列的思想,尝试不同的序列以不同组合方式生成的新的伪随机序列,并用MATLAB分析其性能,得出组合序列的一般的规律,借此推导出了一种新的组合序列——异族Gold组合序列-Through simulation and performance analysis of several commonly use¬ d pseudo-random sequence in the M
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-26
    • 文件大小:71956
    • 提供者:杨远望
  1. pseudo-sequence-vhdl

    0下载:
  2. 常用的几种伪随机序列的仿真及性能分析,进而运用组合序列的思想,尝试不同的序列以不同组合方式生成的新的伪随机序列,并用FPGA分析其性能,得出组合序列的一般的规律,借此推导出了一种新的组合序列——异族Gold组合序列。-Through simulation and performance analysis of several commonly use¬ d pseudo-random sequence in the FPGA environment, use the ideas of c
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-14
    • 文件大小:3838
    • 提供者:杨远望
  1. eg_xianxing

    0下载:
  2. 线性反馈移位寄存器产生伪随机序列可编程分频器- Linear feedback shift register programmable divider generate pseudo random sequences
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-05
    • 文件大小:212264
    • 提供者:左眸
  1. work

    0下载:
  2. Gold序列的程序源代码,有助于理解伪随机序列-Gold sequence of program source code, help to understand the pseudo-random sequence
  3. 所属分类:matlab

    • 发布日期:2017-04-11
    • 文件大小:865
    • 提供者:张桐菁
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 »
搜珍网 www.dssz.com