CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 分频

搜索资源列表

  1. 使用VHDL进行分频器设计

    0下载:
  2. 详细介绍了利用vhdl实现小数整数分数及不通占空比分频的方法
  3. 所属分类:其它文档

  1. 基于一阶差分传声器阵列频域LMS语音增强算法

    0下载:
  2. 介绍双传声器用一阶差分法进行频域LMS语音增强。
  3. 所属分类:其它文档

    • 发布日期:2012-04-16
    • 文件大小:330561
    • 提供者:songzy41
  1. fq_div

    0下载:
  2. pll 的64倍频 锁相环技术用 实现倍频 从而达到对频率的分频-pll 64 multiplier PLL multiplier used to achieve so as to achieve the sub-band of frequencies
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-04
    • 文件大小:2727
    • 提供者:leo
  1. Fredevider_n

    0下载:
  2. 任意N偶数倍频率分频器VHDL语言,编译器MAX_PLUS2-Any even multiple of the frequency divider N VHDL language, compiler MAX_PLUS2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:25002
    • 提供者:黑雾
  1. 3fp

    0下载:
  2. 奇数分频和倍频(只需修改参数就可以实现较难得基数分频和倍频)-Odd frequency and frequency-doubling (just modify the parameters can be achieved relatively rare sub-base frequency and octave)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:169922
    • 提供者:wk
  1. frequence_div

    0下载:
  2. 三分频程序,对输入的时钟信号进行分频,在此基础上可以进行倍频和分频的转化。-frequence divice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1107
    • 提供者:jeff
  1. measure_frequency_and_cycle_with_89C51_Microproces

    0下载:
  2. 用51单片机的定时器和外部中断分别实现对方波信号的高频测频和低频测周,同时将测量结果显示在LED数码管上,如果加外围加分频模块,可实现很高的精度和很高的频率测量范围-With 51 single-chip timer and external interrupt signals, respectively, to achieve the other side of the high frequency wave frequency and low frequency measurement we
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:21656
    • 提供者:xiazhi
  1. xinhao111

    0下载:
  2. 能够产生正弦波、方波、三角波。同时还可以作为频率计测频率。函数信号的产生由MAX038和外围电路完成,能产生1Hz—20MHz的波形。波形选择由单片机完成。输出或输入频率经74HC390分频后,由单片机完成自动频率检测显示-Capable of producing sine, square, triangle wave. At the same time can also be measured as a frequency meter frequency. Function signal ge
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:93303
    • 提供者:hx
  1. PitchExtractingNewMethodforMixedSpeechesBasedonMul

    0下载:
  2. 基于分频带自相关函数的混叠语音基频分离提取新算法 -Based on the sub-band auto-correlation function of the fundamental frequency of voice-alias A New Algorithm for Extraction
  3. 所属分类:Speech/Voice recognition/combine

    • 发布日期:2017-04-05
    • 文件大小:171847
    • 提供者:irenezhu
  1. CLOK

    0下载:
  2. 时钟分频。使用原有高频信号,将其10倍频,得到可用于八段数码管显示的扫描信号-Clock frequency. The use of the original high-frequency signal, frequency-doubling of its 10, the eight can be used to display the scanned digital signal
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-06
    • 文件大小:292256
    • 提供者:庄岚
  1. sanfenpin

    0下载:
  2. verilog 三分频 分频器是FPGA设计中使用频率非常高的基本设计之一,尽管在目前大部分设计中,广泛使用芯片厂家集成的锁相环资源,如altera 的PLL,Xilinx的DLL.来进行时钟的分频,倍频以及相移。-verilog-third of the frequency divider is a FPGA design, very high frequency of use, one of the basic design, although most of the designs in
  3. 所属分类:source in ebook

    • 发布日期:2017-03-28
    • 文件大小:779
    • 提供者:杨化冰
  1. clkdiv

    0下载:
  2. 初学者一个比较容易入门的FPGA verilog 二分频实验。-Relatively easy for beginners to get into a FPGA verilog two-way experiment.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:592
    • 提供者:wen226
  1. dif

    0下载:
  2. FPGA设计中,实现基准时钟的分频模块,该模块是将外围电路中所提供的50MHZ将其分频,对时钟模块作用后产生一秒一秒的时钟信号,另外对显示模块的计数器提供时钟实现显示模块的扫描功能。(The design of FPGA, the reference clock frequency module, this module is provided in the peripheral circuit of the 50MHZ frequency, the clock module generates
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-23
    • 文件大小:6310912
    • 提供者:i belive
  1. fp

    0下载:
  2. 通过quartus2软件使用VHDL语言将输入频率分频的程序(divide the frequency)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:4244480
    • 提供者:dsfdgds
  1. 新建 WinRAR 压缩文件

    0下载:
  2. 将一个1Mhz的信号分频成100khz、10khz、1khz、100hz。实验要求每相差十倍频率就有脉冲输出,推荐采用十进制计数器对信号进行分频,即判断输入信号上升沿或下降沿的个数,每计满5个即让输出信号电平翻转,以此实现10分频。(Divide a 1Mhz signal into 100kHz, 10kHz, 1kHz and 100Hz. The experiment requires that every ten times the frequency of the difference
  3. 所属分类:Windows编程

    • 发布日期:2018-05-03
    • 文件大小:1024
    • 提供者:233eeee
  1. 分频实现

    0下载:
  2. 用verilog实现分频模块。。。。。。。。。。。。。。。。。。。。。。。。。。。。
  3. 所属分类:其它

  1. 分频

    0下载:
  2. 最简单的分频设计,包含quartus2和ise两种
  3. 所属分类:VHDL编程

    • 发布日期:2019-12-05
    • 文件大小:6690269
    • 提供者:zwdsgzs
  1. 分频器的modelsim仿真

    1下载:
  2. 这是分频器的modelsim仿真文件源代码,这是分频器的modelsim仿真文件源代码,这是分频器的modelsim仿真文件源代码
  3. 所属分类:VHDL编程

  1. vhdl分频器(参数可选)

    0下载:
  2. 用vhdl语言写的分频器,更改几个参数就可实现任意频率分频。
  3. 所属分类:开源硬件

  1. 分频器

    0下载:
  2. 包括奇数分频和偶数分频的verilog和仿真文件代码
  3. 所属分类:VHDL编程

« 1 2 3 4 5 67 8 9 10 11 ... 50 »
搜珍网 www.dssz.com