CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 四位加法器

搜索资源列表

  1. sdgshjd

    0下载:
  2. 数字系统设计这是有关的相关源代码,有简易CPU 除法器、计数器等 ...[fpdiv_vhdl.rar] - 四位除法器的vhdl源程序 [vhdl范例.rar] - 最高优先级编码器8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使 BR> ... -Digital System Design This is the underlying source code, a simple C
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:838
    • 提供者:张瑞
  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. add4

    0下载:
  2. 四位加法器verilog源代码,经过modelsim仿真验证正确,用ISE7.1i以上版本打开工程文件。-Four adder verilog source code, right after the modelsim simulation with ISE7.1i later open the project file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:130458
    • 提供者:翁开胜
  1. multiply

    0下载:
  2. 四位加法器的VHDL代码,实现四位加法器FPGA实现。-Four adder VHDL code to achieve the four adder FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:731
    • 提供者:汪云
  1. count4

    0下载:
  2. 四位加法器的Verilog实现,可以实现综合工具对其综合-Four adder Verilog implementation of their comprehensive synthesis tool can
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:18660
    • 提供者:guankun
  1. adder4_1

    0下载:
  2. 基于VHDL的四位加法器,运行环境quartus-VHDL-based four adder, operating environment quartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:94587
    • 提供者:jiayanqing
  1. 4bit_adder

    1下载:
  2. hspice网表文件 四位加法器 .13工艺-hspice
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-15
    • 文件大小:264106
    • 提供者:sheepherdee
  1. four-adder-design

    0下载:
  2. 可编程逻辑设计-用VHDL语言进行四位加法器的设计-Programmable logic design _ four adder design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:1232896
    • 提供者:lunfei
  1. adder4.rar

    0下载:
  2. 四位加法器 数码管显示 组合电路 verilog,adder4 smg display combitional circuit verilog
  3. 所属分类:Other systems

    • 发布日期:2017-11-17
    • 文件大小:1187
    • 提供者:占建
  1. UDP

    0下载:
  2. 这是用Verilog HDL编写的程序 利用UDP方法实现四位加法器-This is written in Verilog HDL programs Use UDP method four adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:43572
    • 提供者:姚远
  1. SY3

    0下载:
  2. 西南交通大学计算机组成原理实验三 四位加法器设计-Southwest Jiaotong University computer composition principle experiment thirty-four adder design
  3. 所属分类:Compiler program

    • 发布日期:2017-05-10
    • 文件大小:2105750
    • 提供者:han
  1. adder4bit

    0下载:
  2. VHDL设计的四位加法器器,仿真测试正确,可以使用。-VHDL design of four adders, a simulation test correctly, you can use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:127797
    • 提供者:高立新
  1. 4weijianfaqi_verilog

    0下载:
  2. 四位加法器的verilog实现,用VHDL语言,附tb.v。-Verilog achieve four adder, using VHDL language, with tb.v.
  3. 所属分类:Driver Develop

    • 发布日期:2017-04-01
    • 文件大小:767
    • 提供者:JJ
  1. adder_4

    0下载:
  2. 四位加法器的三种实现方法,包括行为级描述、行波进位加法器、超前进位加法器-Three of four adder implementations, including behavioral descr iptions, ripple carry adder, look-ahead adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1537
    • 提供者:陈谋奇
  1. EDA

    0下载:
  2. EDA小程序,用VHDL语言设计七人表决器,四位加法器。-EDA small program design using VHDL seven people voting, four adder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:610
    • 提供者:露露
  1. fast-carry-adder-4d

    0下载:
  2. VHDL实现的快速四位加法器,就是这样,嗯,适合入门-VHDL achieve rapid four adders, exactly, ah, suitable for entry
  3. 所属分类:Other systems

    • 发布日期:2017-04-30
    • 文件大小:204487
    • 提供者:evelyn wang
  1. y210

    0下载:
  2. 三八译码器,四位加法器,EDA实验,用verilog编写(EDA experiment with verilog language)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:1024
    • 提供者:miaomiaojiang
  1. adder8

    0下载:
  2. 基于vhdl的八位加法器,以两个四位加法器为基础(Eight bit adder of VHDL)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:1643520
    • 提供者:ydb
  1. adder_4bits

    0下载:
  2. 实现四位先行加法器的功能以及测试代码,其中adder_4bits.v为模块代码,adder_4bits—_tb.v为测试代码。还附加 部分其他加法器测试代码(Implement the function of four bit first adder and test code)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:2048
    • 提供者:FM姜子牙
  1. alu

    1下载:
  2. 实现了四位快速加法器,并在此基础上实现了16位和32为快速加法器(Based on the implementation of four bit fast adder, 16 bit and 32-bit fast adders are realized)
  3. 所属分类:GIS编程

    • 发布日期:2020-07-11
    • 文件大小:35840
    • 提供者:啊谬
« 1 23 4 »
搜珍网 www.dssz.com