CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 奇偶

搜索资源列表

  1. include

    0下载:
  2. 打开串口调试程序,将波特率设置为9600,无奇偶校验晶振11.0592MHz,发送和接收使用的格式相同 -Open the serial debugger, the baud rate is set to 9600, no parity crystal 11.0592MHz, send and receive using the same format
  3. 所属分类:Com Port

    • 发布日期:2017-04-09
    • 文件大小:3004
    • 提供者:王斌
  1. NeuralNetWork

    0下载:
  2. 人工神经网络判断0~9个数的奇偶性,可以适当扩大判别范围-Artificial neural network to determine the number of 0 to 9 parity, you can determine the appropriate scope of expansion
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-10
    • 文件大小:768
    • 提供者:赵发君
  1. One-dimensional-parity-check

    0下载:
  2. 数据通信中误码检测机制的研究,使用MATLAB对奇偶校验码进行测试-Error Detection Techniques in Data Communications, One-dimensional parity check is simulated by MATLAB
  3. 所属分类:matlab

    • 发布日期:2017-03-23
    • 文件大小:15794
    • 提供者:是大大的
  1. Two-dimensional-parity-check

    1下载:
  2. 数据通信中误码检测机制的研究,使用MATLAB对二维奇偶校验码进行测试-Studies of Error Detection Techniques in Data Communications,two-dimensional parity check is simulated through MATLAB
  3. 所属分类:matlab

    • 发布日期:2017-03-23
    • 文件大小:17867
    • 提供者:是大大的
  1. lab31

    0下载:
  2. 实现了对函数的奇偶分频的频谱分析,DFT什么的,包括了4个基础的信号处理实验代码-To achieve parity of function analysis of frequency spectrum
  3. 所属分类:matlab

    • 发布日期:2017-04-06
    • 文件大小:1320
    • 提供者:郭函数
  1. complex

    0下载:
  2. 简单的排序信息隐藏算法 首先将信息序列排序 对于待隐藏的一位信息,如果信息是‘0’,那么使用偶数位置的数来隐藏信息,否则使用奇数位置的数来隐藏信息。 一旦奇数位置(或者偶数位置)用完,则把剩下的偶数位置(或者奇数位置)重新分配奇偶位置。然后执行第2步。 最后会剩下一个数,这个数不能够隐藏信息,但是需要将这个数放到最后。 -Information Hiding in a simple sorting sequence of the first sort of informati
  3. 所属分类:Data structs

    • 发布日期:2017-04-05
    • 文件大小:1335
    • 提供者:wangjing
  1. serial-data-manipulation

    0下载:
  2. labivew 平台下串口数据操作的实例,如串口的配置,字符的转化,奇偶位的验证等-labivew platform instance serial data manipulation, such as the serial port configuration, the transformation of character, parity bit verification
  3. 所属分类:Com Port

    • 发布日期:2017-03-29
    • 文件大小:19589
    • 提供者:
  1. PS2-keyboard-drive-

    0下载:
  2. //DMAVR-128的PS2键盘驱动程序,并在1602液晶上显示键值 //PS2键盘按键1次输出33个时钟脉冲,因此采用中断的方式读取33次,只提取最后一个字节中的数据位做键码 //按键1次,实际输出3个字节数据,每个字节为11位,一个起始位(0),8个数据位,一个奇偶校验位,一个停止位(1) //并且第1个字节与第3个字节数据相同,此程序提取第3个字节的数据位键值数据-//DMAVR-128 of the PS2 keyboard driver, and in 1602 is d
  3. 所属分类:Driver Develop

    • 发布日期:2017-03-30
    • 文件大小:3206
    • 提供者:吴钟海
  1. digital-quadrature-down-converter

    2下载:
  2. 基于FPGA的数字正交下变频器设计,在ALTERA的DE2开发板上设计一个多相滤波结构数字正交变换器。其中多相滤波模块是最关键模块,该模块将64阶滤波器的系数分成奇偶两路,并通过VHDL常数的方式存储在模块内部。这些常数是通过在MATLAB中调用FDATool,根据滤波器的参数要求来生成的。这些浮点格式的滤波器系数还需要在MATLAB中计算成二进制补码的形式,才可以存储在模块中。-FPGA-based digital quadrature down-converter design, ALTER
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7411722
    • 提供者:joey
  1. LDPC

    0下载:
  2. LDPC LDPC码即低密度奇偶校验码 LDPC码已成为第四代通信系统(4G)强有力的竞争者,而基于LDPC码的编码方案已经被下一代卫星数字视频广播标准DVB-S2采纳。-LDPC LDPC codes that low density parity check codes LDPC codes have become the fourth generation communication system (4G) a strong competitor, but the encodin
  3. 所属分类:Communication

    • 发布日期:2017-03-31
    • 文件大小:15944
    • 提供者:林佳
  1. vbcall.Rar

    0下载:
  2. 利用MSComm控件拨电话、设置通讯端口号、设置波特率、奇偶校验、数据位、停止位参数。-MSComm control by telephone, set the communications port number, set the baud rate, parity, data bits, stop bit parameters.
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-01
    • 文件大小:14403
    • 提供者:陆骏
  1. OECheck

    0下载:
  2. 基于java实现奇偶校验的示例软件,使用Java Swing界面编程,可视化界面软件,包含实现源码-Based on an example of java software to achieve parity, the use of Java Swing GUI, visual interface software
  3. 所属分类:Java Develop

    • 发布日期:2017-04-04
    • 文件大小:19854
    • 提供者:wdp
  1. uart

    0下载:
  2. 本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在PC机上安装一个串口调试工具来验证程序的功能。 程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控制器,10个bit是1位起始位,8个数据位,1个结束位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实现相应的波特率。程序当前设定的div_par 的值是0x104,对应的波特率是 --9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时间划分为8个时隙以使通信同步.程序的工作过程是:串口处于
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:814626
    • 提供者:Domo
  1. LDPCsimulation

    0下载:
  2. GPS CNAV2电文中利用到的低密度奇偶校验码的matlab实现-GPS CNAV2 message using the low-density parity check codes matlab implementation
  3. 所属分类:GPS develop

    • 发布日期:2017-04-15
    • 文件大小:7140
    • 提供者:nikkochou
  1. ErrorPCorrectionPCodingP

    1下载:
  2. Error Correction Coding (Mathematical Methods and Algorithms) 关于纠错码算法很全的一本书 (奇偶检测,turbe码,LDPC等)-provide a comprehensive introduction to error correction coding, including both classical block- and trellis-based codes and the recent develo
  3. 所属分类:软件工程

    • 发布日期:2015-04-20
    • 文件大小:36339755
    • 提供者:赵香君
  1. begin

    0下载:
  2. 9/7提升小波变换中奇偶分裂步、预测步、边界延拓处理和模块测试平台的Verilog代码描述:-9/7 lifting wavelet transform in odd and even split-step, prediction step, boundary extension process and module test platform described in Verilog code:
  3. 所属分类:Wavelet

    • 发布日期:2017-04-02
    • 文件大小:2453
    • 提供者:张龙升
  1. VerilogHDL

    0下载:
  2. 9/7提升小波变换中奇偶分裂步、预测步、边界延拓处理和模块测试平台的Verilog代码描述:-9/7 lifting wavelet transform in odd and even split-step, prediction step, boundary extension process and module test platform described in Verilog code:
  3. 所属分类:Wavelet

    • 发布日期:2017-04-02
    • 文件大小:3105
    • 提供者:张龙升
  1. 413302_1257407504PkYS

    0下载:
  2. PFC仿真,别人说爱学要勇气,我比较近阿哥,我就发了几个煎熬了机构软件,奥奇偶国际化-PFC simulation and its progress.when i see you ,i love you ,i don t know what to dou
  3. 所属分类:matlab

    • 发布日期:2017-04-17
    • 文件大小:45116
    • 提供者:马玉
  1. Modelsim-odd16

    0下载:
  2. 主要实现的功能是并行输入一十六位的数据通过奇偶校验来输出一十七位的数据-Main function is to implement sixteen parallel input data to output through the parity data on seventeen
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-04
    • 文件大小:37459
    • 提供者:万箭齐发
  1. zhengfujiou

    0下载:
  2. 判断数值的正负奇偶,并以对话框形式显示,基于labview-Determine the value of the positive and negative parity, and the dialog box is displayed, based on labview
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-01
    • 文件大小:7409
    • 提供者:
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 30 »
搜珍网 www.dssz.com