CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 按键消抖

搜索资源列表

  1. keyboardxiaodou

    0下载:
  2. 按键消抖,参考。要根据脉宽的要求设置不同的记数宽度。-keys buffeting consumer reference. According to the pulse width with different requirements for the entry width.
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:964
    • 提供者:zaj
  1. verilog电子琴

    0下载:
  2. 用verilog编写的电子琴,用8个按键实现8个音调,并控制相应led灯闪烁,集成了按键消抖功能
  3. 所属分类:源码下载

    • 发布日期:2011-02-03
    • 文件大小:13198022
    • 提供者:ikedodo
  1. verilog2

    1下载:
  2. 用verilog语言编写的按键消抖程序。通过下降沿检测法可以判断出是否按键。压缩包内也包含此按键消抖程序的modelsim仿真文件。-Verilog language with key debounce process. By falling edge detection method can determine whether the key. This compressed package also contains procedures for key debounce modelsim
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-09
    • 文件大小:183287
    • 提供者:广子
  1. key_interface

    0下载:
  2. verilog写的程序,是带按键消抖程序。。对于新手具有参考-verilog write the program, with key debounce program. . A reference for the novice
  3. 所属分类:MiddleWare

    • 发布日期:2017-11-09
    • 文件大小:645497
    • 提供者:wns
  1. keyscan

    0下载:
  2. 4*4矩阵键盘单片机c语言驱动 按键消抖-I don t know!!
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-23
    • 文件大小:12561
    • 提供者:杜帮胜
  1. debounce_2_Verilog

    0下载:
  2. 用VerilogHDL编写的按键消抖程序 分频产生100Hz的按键采样时钟,采样时钟周期为10ms, 按键按下后,产生时间为10ms的低电平信号,即LED亮10m-*Project Name :debounce *Module Name :debounce *Target Device :Any Altera FPGA/CPLD Device *Clkin : 50MHz *Desisgner : zhaibin *Date : 2011-11-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:430472
    • 提供者:ZB
  1. watch

    0下载:
  2. 用VHDL设计实现秒表功能:秒表功能包括开始/暂停键和清零键,精度要达到0.01秒,所以计数显示共有八个数码管,而每个数码管又有八个管脚,因此采用扫描显示的方法,减少管脚数量。时钟脉冲由最低位给入,采用异步方式驱动更高位的计数,时钟频率应该为100Hz,通过数码管显示,共有八个数码管,所以扫描频率应在100Hz的8倍以上。(付按键消抖代码)-VHDL design with a stopwatch functions: stopwatch features include Start/PAUSE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:27292
    • 提供者:李月
  1. Key

    0下载:
  2. 按键驱动程序。主要功能:如果按下查询键值,消抖,发送标志位置位 如果同一个键一直处于按下状态,只发送一次;如果是两个特殊键,则一直发送。-Key drivers. Main functions: if the key press inquiries, elimination Buffeting, send mark the location of places, if the same key has been pressed, and sent only once if there are
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:3195
    • 提供者:kangde1619
  1. key_denounce

    0下载:
  2. 按键消抖verilog源代码,包括实验说明书,清晰易懂. -this code is the verilog source code,which teach you how to filtrate the bump when the key being touched.
  3. 所属分类:Other systems

    • 发布日期:2017-04-09
    • 文件大小:339746
    • 提供者:颜爱良
  1. keydebounce

    0下载:
  2. 按键消抖,基于BLOCK输入,可以达到很好的消除抖动的效果-Key consumer shake, based on BLOCK input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:187493
    • 提供者:lixianhui
  1. VHDLxiaodou

    0下载:
  2. 键盘消抖电路的程序,可以实现按键消抖功能-xiaodou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:3405
    • 提供者:东东
  1. 111

    0下载:
  2. 用vhdl实现按键消抖 和 用vhdl写pwm-Vhdl implementation with shaking and the use of key consumer written pwm vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:575
    • 提供者:王正
  1. xiaodou2

    0下载:
  2. 基于脉冲边缘检测的按键消抖模块verilog-Key consumer shake module verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2265429
    • 提供者:郭超
  1. StopWatch

    0下载:
  2. 电子秒表 巧妙实现按键消抖、按键组合、一键多用等功能-Stopwatch button debounce cleverly achieved, key combination, a key multi-functions
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:29238
    • 提供者:牵狼擎苍
  1. stable_key

    0下载:
  2. 按键消抖电路,包含VHDL编写的程序,以及VerilogHDL编写的程序-Key debounce circuit, including a program written in VHDL, as well as programs written VerilogHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:627455
    • 提供者:路政西
  1. jifenqi

    0下载:
  2. 单片机计分器汇编程序,按键消抖,数码显示-SCM scoring device assembler, key debounce, digital display
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:645
    • 提供者:小宇
  1. pingpang

    0下载:
  2. 模拟乒乓球游戏机,输入有按键消抖模块,利用两个七段数码管的其中9段来模拟乒乓球的移动路线,中间的数码管兼做球网。-Table tennis simulation game, enter a key debounce module, using two seven-segment digital tube to simulate the Table Tennis section 9 of the mobile line, cater to the middle of the digital net
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:299859
    • 提供者:李凡
  1. water-lamp-xd

    0下载:
  2. 流水灯程序、按键消抖程序和计算按键次数程序-Light water programs, procedures and calculation of key debounce process button clicks
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:944
    • 提供者:lj
  1. key

    0下载:
  2. 按键消抖、长按、短按处理,包括连续累加累减,按键功能旗标的置起(key debondes add inc dec long short sa as d deafn dapcn sasd sanfyy.)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-21
    • 文件大小:2048
    • 提供者:voquinn
  1. 状态机按键

    0下载:
  2. 状态机按键延时消抖不占用单片机资源。这个程序是是51C语言程序(The state machine key delay eliminates the use of SCM resources.)
  3. 所属分类:其他

    • 发布日期:2018-05-07
    • 文件大小:34816
    • 提供者:好评有礼
« 1 23 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com