CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 按键消抖

搜索资源列表

  1. 51

    0下载:
  2. 51端口介绍,led静态,动态显示,数码管驱动,按键消抖,以及部分程序-51 port introduction, led static, dynamic display, the driver of digital tube, key debounce, and part of the program
  3. 所属分类:SCM

    • 发布日期:2017-05-06
    • 文件大小:1491279
    • 提供者:liu
  1. _5_key_led_without_debounce

    0下载:
  2. verilog实例5 key_led_without_debounce 使用KEY控制LED亮灭,无按键消抖 (1)源文件 key_led.v (2)管脚分配 pins list.txt -5 key_led_without_debounce 使用KEY控制LED亮灭,无按键消抖 (1)源文件 key_led.v (2)管脚分配 pins list.txt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:54902
    • 提供者:李程序
  1. verilog_sw_led

    0下载:
  2. 采用verilog编写的FPGA程序,程序的功能是按键按键消抖,quartus II 开发。芯片型号是EP2C35F484C7,时钟50MHz。-FPGA verilog to write the program, the program function is the key button is debounced, quartus II development. The chip model is EP2C35F484C7, clock 50MHz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:412292
    • 提供者:muliubing
  1. LED2

    0下载:
  2. 基于stm8s105上的无中断按键消抖控制LED灯闪烁-Based on without interruption stm8s105 on the button debounce control LED flashes
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:945331
    • 提供者:tang
  1. key-press-remove-twitter

    0下载:
  2. 通过电平检测方法,用VERILOG实现了按键消抖-Button is debounced by the level detection method using VERILOG
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:175525
    • 提供者:liu
  1. VHDL-key

    0下载:
  2. VHDL语言程序,具有按键消抖哦,程序比较简单,易明白,欢迎大家下载哦-VHDL language program, with key debounce, the procedure is relatively simple, easy to understand, are welcome to download Oh! ! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:382352
    • 提供者:陈建华
  1. VHDL-key1

    0下载:
  2. 利用VHDL程序按键消抖程序,实用性强,易明白,测试成功啦!-VHDL program button debounce procedures, practical, easy to understand, the test is successful!
  3. 所属分类:Other systems

    • 发布日期:2017-03-24
    • 文件大小:266109
    • 提供者:陈建华
  1. key

    0下载:
  2. 集按键消抖,不影响cpu时序,c程序,单键,复合键,点触,长按连续加或减(switch case 语句)-Set button debounce, does not affect the cpu timing, c program, a single bond, composite key, tap, press and continuous plus or minus
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:733
    • 提供者:
  1. anjianxiaochudoudongkongzhiLED

    0下载:
  2. 实现按键控制LED 亮灭。通过这个实验,掌握采用Verilog HDL 语言编程实 现按键控制LED 亮灭及按键消抖方法。-Buttons control the LED light off. Through this experiment, master Verilog HDL language programming buttons control the LED lights off and the key debounce.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:452695
    • 提供者:王恒
  1. key

    0下载:
  2. verilog的按键消抖程序,利用状态机完成的-verilog the the key debounce program, the completion of the state machine
  3. 所属分类:Other systems

    • 发布日期:2017-11-09
    • 文件大小:848
    • 提供者:young
  1. key_debounce

    0下载:
  2. FPGA入门系列实验教程——按键消抖控制LED亮灭,-FPGA Starter series of experiments tutorial- Button eliminate buffeting control LED lights off
  3. 所属分类:Other systems

    • 发布日期:2017-11-30
    • 文件大小:275933
    • 提供者:周舟
  1. verilog_anjianxiaodou

    0下载:
  2. quartus II下FPGA的基于verilog的按键消抖程序设计-Based verilog the key debounce procedures of design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:339501
    • 提供者:程亮
  1. key_scan

    0下载:
  2. 按键消抖!verilog版本的,延时程序,已经过测试-Key debounce verilog version, the delay procedure has been tested
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-08
    • 文件大小:537
    • 提供者:追风
  1. StatusMachine

    0下载:
  2. AVR单片机,状态机通过判断按键状态实现按键消抖-AVR microcontroller, state machine to determine the key state key debounce
  3. 所属分类:SCM

    • 发布日期:2017-12-04
    • 文件大小:21144
    • 提供者:qutianci
  1. key

    0下载:
  2. VHDL按键消抖程序,文中提到了两种方法。-VHDL key the debounced program, mentioned two methods.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:29142
    • 提供者:韩帅
  1. anjianxiaodou

    0下载:
  2. 基于verilog的按键消抖\\\\\\代码-Based on the verilog key debounce \ \ \ \ \ \ code
  3. 所属分类:Other systems

    • 发布日期:2017-11-27
    • 文件大小:593
    • 提供者:王玲
  1. code-amplifier

    0下载:
  2. 该程序适用于运算放大器电路,控制增益倍数以及按键消抖-This procedure applies to the op-amp circuit to control the gain factor and key debounce
  3. 所属分类:Other systems

    • 发布日期:2017-12-01
    • 文件大小:10665
    • 提供者:徐晟灏
  1. oneseg7

    0下载:
  2. 实现按键输入十进制,具有按键消抖功能,时钟分频及复位功能-Key input decimal
  3. 所属分类:assembly language

    • 发布日期:2017-11-25
    • 文件大小:446025
    • 提供者:理解进
  1. key

    0下载:
  2. 详细按键消抖程序,VHDL语言描述,适用按键控制程序。-KEY vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:303631
    • 提供者:zhf
  1. keyscan_state

    0下载:
  2. 基于51单片机实现4x4矩阵键盘,按键消抖的状态机。-Based on 51 MCU 4x4 matrix keyboard, key debounce state machine.
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:647
    • 提供者:
« 1 2 3 45 6 7 8 9 10 »
搜珍网 www.dssz.com