CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 曼彻斯特

搜索资源列表

  1. m_decoder

    0下载:
  2. 恢复以曼彻斯特编码格式输入的mdi信号成实际数据并存储在双端口RAM后以中断方式通知DSP读取数据,所需双端口RAM程序可以从相应的FPGA编译系统中产生-A return to the Manchester encoded signal is input into the actual data mdi and stored in the dual-port RAM notify the DSP after the break to read the data, the required du
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2541
    • 提供者:周宽裕
  1. m_encoder

    0下载:
  2. 将写入的数据用曼彻斯特码格式从meout口输出,所需内部存储单元可根据所使用不同的FPGA类型由相应的编译软件产生所需双端口RAM模块-The data will be written by Manchester code format from meout port output, the required internal storage unit can be used according to the different types of FPGA Compiler software f
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:2511
    • 提供者:周宽裕
  1. bosch8553

    0下载:
  2. KBD_universal键盘的解码程序(兼容LTC8553),将曼彻斯特码转换为VIDEOTREC协议-KBD_universal keyboard decoder (compatible LTC8553), will be converted to VIDEOTREC Manchester Agreement
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3047
    • 提供者:张红兵
  1. 433M_RX

    0下载:
  2. 433M频段 软件完成所有曼彻斯特码解码功能的接收代码-433M-band software to complete all the Manchester code decoding received code
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:134396
    • 提供者:李昂
  1. hdlc_7960

    0下载:
  2. 基于Verilog的7960实现。主要实现曼彻斯特的编解码。采用的倍频采样的方法。-Based on the 7960 Verilog implementation. Main achieved Manchester encoding and decoding. Frequency sampling method used.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:702711
    • 提供者:栾帅
  1. FPGA-can_1553b

    0下载:
  2. 基于fpga 的航空总线设计资料 MIL_STD_1553B总线设计系统 曼彻斯特码的编码-Fpga-based design information MIL_STD_1553B air bus system bus design, etc. Manchester encoding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:47540265
    • 提供者:李继锋
  1. mh

    0下载:
  2. 本程序为曼彻斯特编码源代码,使用VC环境编译,语言为C语言-This program is Manchester encoded source code, compiled using VC environment, the language is C language
  3. 所属分类:Special Effects

    • 发布日期:2017-04-06
    • 文件大小:168428
    • 提供者:孙国栋
  1. 89c2051-mult-mcu--communication

    0下载:
  2. 石油系统测井地面仪多机通讯、曼彻斯特软件解码、光电码盘软件计深组合程序-Oil well logging system of multi-machine communication device ground, Manchester decoding software, the software meter deep optical encoder combined program
  3. 所属分类:Energy industry

    • 发布日期:2017-04-08
    • 文件大小:4246
    • 提供者:cxx
  1. pic16f628_em4100

    0下载:
  2. PIC16F628A读取EM4100 RFID卡,采用CCP捕捉模式,捕捉曼彻斯特编码电平信息。曼彻斯特编码软件解码,获取ID 编号通过串口,以十进制形式输出显示唯一ID编号-PIC16F628A read EM4100 RFID cards, the use of CCP Capture mode, Manchester encoding level information. Manchester encoding software decoding, get an ID number thr
  3. 所属分类:RFID

    • 发布日期:2017-03-29
    • 文件大小:138959
    • 提供者:张宏
  1. vhdl1553

    0下载:
  2. 在FPGA中实现1553协议芯片功能 曼彻斯特码-1553 agreement in the FPGA chip functions Manchester
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:3683
    • 提供者:苏啊
  1. yhbm

    0下载:
  2. RC-S232、曼彻斯特、差分曼彻斯特编码演示软件-RC-S232, Manchester, differential Manchester encoding demo software
  3. 所属分类:Wavelet

    • 发布日期:2017-04-01
    • 文件大小:14130
    • 提供者:王建民
  1. Manchester_QuartusII

    1下载:
  2. 完整的曼彻斯特编解码(采用锁相环技术)_QuartusII工程-A complete QuartusII project for Manchester coding and decoding with phase-locked loop technology
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:809829
    • 提供者:john
  1. manchester

    0下载:
  2. 曼彻斯特和差分曼彻斯特编码的实现 分析:曼彻斯特编码是将每个码元的中央实现跳变,具体的码字表示为:1->10,0->01.-Direct code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2506
    • 提供者:snoopy
  1. verilog

    0下载:
  2. 曼彻斯特编码的verilog实现,复制到quartus II可用-Manchester verilog realize the code,Copy to quartus II available
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:10169
    • 提供者:尹晋文
  1. Manchester

    0下载:
  2. 单片机C8051F020对曼彻斯特编码的测频并产生同频同步的脉冲信号。需要两个单片机协同,频率为10-100KHz的整10倍频。-Manchester encoding C8051F020 microcontroller for frequency measurement and frequency synchronization with the pulse generated signal. Requires two microcontroller together, frequency o
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:3137
    • 提供者:zhlziv
  1. 1553B_enc_dec

    0下载:
  2. 155B航空总线中曼彻斯特编码和译码模块,亲测可以使用,而且很好用,但是对锁相环的描述不是很仔细-155B Air bus Manchester encoding and decoding modules, pro-test can be used, and it just works
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:181504
    • 提供者:
  1. Communication-simulation

    0下载:
  2. Matlab、通信仿真、Simulink、误码率、曼彻斯特编码-Matlab, communication simulation, Simulink, BER, Manchester encoding
  3. 所属分类:Communication

    • 发布日期:2017-04-02
    • 文件大小:341690
    • 提供者:费翔
  1. 2011-diansai-E

    0下载:
  2. 2011年 电赛 E题 简易数字信号传输性能分析仪FPGA信号发生部分 包括m序列,伪随机序列,曼彻斯特编码 程序 和单片机部分程序-2011 CEC E title simple digital signal transmission performance analyzer FPGA signal part of the program and single-chip part of the program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6870756
    • 提供者:费翔
  1. FPGA-data

    0下载:
  2. 本文件内含多个编码,何其有关的文件,例如:HDB3编码,ASK,FSK,CMI,曼彻斯特编码和这些的解码器-This document contains a number of encoding and decoding procedures, ease of reference, learning, Manchester encoding, and HDB3 practicality. You can learn its programming ideas
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1162609
    • 提供者:王海
  1. Manchester-decoder

    0下载:
  2. 完成了曼彻斯特解码,实现了读ID卡功能。单AVR。-Manchester finished reading decoding, realizes the function of ID card. The single AVR.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:39555
    • 提供者:马苗立
« 1 2 3 4 5 67 8 9 10 11 ... 16 »
搜珍网 www.dssz.com