CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 格雷码

搜索资源列表

  1. Graydecode

    0下载:
  2. 非常实用的格雷码的译码程序,简单,实用,得到了广泛的应用-Very useful Gray code decoding process, simple, practical, has been widely used in
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-08
    • 文件大小:695499
    • 提供者:仲楷
  1. tiaozhi

    1下载:
  2. 包含了QPSK、OQPSK调制,QAM调制,以及16QAM调制通信系统仿真,采用格雷码,升余弦滤波,高斯信道-Includes QPSK, OQPSK modulation, QAM modulation, and 16QAM modulation communications system simulation, using Gray code, raised cosine filtering, Gaussian channel
  3. 所属分类:source in ebook

    • 发布日期:2017-03-31
    • 文件大小:2860
    • 提供者:baorwei
  1. dlp

    1下载:
  2. 基于结构光的利用格雷码和相位组合的三维测量设计-Based on Structured Light Gray code and phase combinations using three-dimensional measurement design
  3. 所属分类:Graph program

    • 发布日期:2017-05-19
    • 文件大小:5616385
    • 提供者:邓猛子
  1. DFs

    0下载:
  2. 深度优先搜索求格雷码,可以实现多组数据的输入-Gray code depth first search request
  3. 所属分类:Data structs

    • 发布日期:2017-04-16
    • 文件大小:28357
    • 提供者:whuihuai
  1. c

    1下载:
  2. 1.问题描述 对于给定的正整数n,格雷码为满足如下条件的一个编码序列: (1) 序列由2n个编码组成,每个编码都是长度为n的二进制位串。 (2) 序列中无相同的编码。 (3) 序列中位置相邻的两个编码恰有一位不同。 例如:n=2时的格雷码为:{00, 01, 11, 10}。 -1. Problem Descr iption for a given positive integer n, Gray code to meet a coding sequence of the
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2016-09-18
    • 文件大小:19862
    • 提供者:杨小明
  1. GrayCode

    0下载:
  2. 格雷码,Gray Code,,是一种绝对编码方式,典型格雷码是一种具有反射特性和循环特性的单步自补码,它的循环、单步特性消除了随机取数时出现重大误差的可能,它的反射、自补特性使得求反非常方便。-Gray Code, Gray Code,, is an absolute encoding, the typical Gray code is a kind of reflection characteristics and cycle characteristics of the single-ste
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:61225
    • 提供者:王腾
  1. GrayCode

    0下载:
  2. 格雷码(Gray code),又叫循环二进制码或反射二进制码 在数字系统中只能识别0和1,各种数据要转换为二进制代码才能进行处理,格雷码是一种无权码,采用绝对编码方式,典型格雷码是一种具有反射特性和循环特性的单步自补码,它的循环、单步特性消除了随机取数时出现重大误差的可能,它的反射、自补特性使得求反非常方便。 -Gray code (Gray code), also known as cyclic binary code or binary code reflected in the d
  3. 所属分类:Data structs

    • 发布日期:2017-03-28
    • 文件大小:8744
    • 提供者:夏雪
  1. geleiam

    0下载:
  2. 格雷码转换成二进制 格雷码是一种工业使用的码值 其好处在于误码率低 但是它是一种无权码 不能进行运算-Gray code into binary Gray code is a code used by the industries the value of its benefits is that the bit error rate is low but it is a right operation code can not be
  3. 所属分类:software engineering

    • 发布日期:2017-04-07
    • 文件大小:1039
    • 提供者:何塞
  1. 11121212121212

    0下载:
  2. 格雷码转换成二进制 格雷码是一种工业使用的码值 其好处在于误码率低 但是它是一种无权码 不能进行运算-Gray code into binary Gray code is a code used by the industries the value of its benefits is that the bit error rate is low but it is a right operation code can not be
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-03-29
    • 文件大小:1275
    • 提供者:何塞
  1. gatbx

    0下载:
  2. 遗传算法工具箱 将该工具箱拷贝至: E:\matlab program\toolbox\gads 然后便可以在程序中直接使用函数如: mut 变异函数,可以实数变异,即扰动变异 BS2RV 解码函数,可以二进制(包括格雷码)转换为实值-Genetic algorithm toolbox to the toolbox copy: E: \ matlab program \ toolbox \ gads then can be used directly in the progr
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-01
    • 文件大小:593742
    • 提供者:立冬
  1. vhdlcoder

    1下载:
  2. 本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160 九、可变步长加减计数器 multicount 十、可
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:59211
    • 提供者:李磊
  1. Cpp1

    0下载:
  2. 该程序用格雷码来生成组合数序列,它比一般的方法要快-Divide and conquer method to construct the Gray code
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-05
    • 文件大小:374686
    • 提供者:黄华虎
  1. vc_programming_example_code_binary_Gray_code

    0下载:
  2. vc programming example code binary Gray code vc编程二进制格雷码实例代码-vc programming example code binary Gray code
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:13890
    • 提供者:6
  1. GrayCnt

    0下载:
  2. 格雷码计数器的verilog实现,做通讯的朋友可以-Gray code counter verilog implementation, so friends can see communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:929
    • 提供者:
  1. NumericalRecipesinCWilliam.H

    3下载:
  2. 本书编写了300多个实用而有效的数值算法C语言程序。其内容包括:线性方程组的求解,逆矩阵和行列式计算,多项式和有理函数的内插与外推,函数的积分和估值,特殊函数的数值计算,随机数的产生,非线性方程求解,傅里叶变换和FFT,谱分析和小波变换,统计描述和数据建模,常微分方程和偏微分方程求解,线性预测和线性预测编码,数字滤波,格雷码和算术码等。全书内容丰富,层次分明,是一本不可多得的有关数值计算的C语言程序大全。本书每章中都论述了有关专题的数学分析、算法的讨论与比较,以及算法实施的技巧,并给出了标准C语
  3. 所属分类:Algorithm

    • 发布日期:2014-05-08
    • 文件大小:40422400
    • 提供者:何夕
  1. QPSK_ERROR_RAYLEIGH

    0下载:
  2. 这个程序是用格雷码的基带仿真,在加高斯白噪声的情况下仿真ber和ser曲线-the purpose of this m-file is to show a baseband simulated version of QPSK with Gray coding( Rayleigh multipath and AWGN added) which may give valid results
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:3006
    • 提供者:bujingyun
  1. PLD

    0下载:
  2. PLD实验代码,包括格雷码计数器、键盘扫描和LED点阵显示、SRAM读写、LCD12864显示汉字。-PLD experimental code, including the Gray code counter, keyboard scanning and LED dot matrix display, SRAM read and write, LCD12864 display Chinese characters.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:132209
    • 提供者:马昭鑫
  1. Binary.code.Gray.code.converter

    0下载:
  2. 二进制码格雷码转换器 进行二进制码格雷码转换,vhdl,QuartusⅡ-Binary code Gray code converter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:25494
    • 提供者:duopk
  1. 2

    0下载:
  2. 格雷码转换 计数器的实现 两个程序的实现-Gray code conversion Implementation of counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:593
    • 提供者:guoliang
  1. bintograd

    0下载:
  2. 二进制转格雷码的程序(verilog)已经过验证-binary to grad
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:256395
    • 提供者:zhaijiong
« 1 2 3 45 6 7 8 9 10 »
搜珍网 www.dssz.com