CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 浮点运算

搜索资源列表

  1. stx_cookbook.zip

    1下载:
  2. Altera公司高端FPGA高级综合指导手册,包括:算术运算单元,浮点处理技巧,数据编码格式转换,视频处理,仲裁逻辑,多路选择,存储逻辑,计数器,通信逻辑,循环冗余校验,随机和伪随机函数,加密和同步等编码风格和技巧;,advanced synthesis cookbook for Altera high-end FPGA(Stratix),incuding coding style and design tricks for arithmetic,floating points oper
  3. 所属分类:software engineering

    • 发布日期:2017-03-27
    • 文件大小:962193
    • 提供者:刘易
  1. rgb2yuv1

    0下载:
  2. 这个主要是实现RGB和YUV两种色彩空间的转换,其中用到的主要思想是,verilog语言中的浮点乘法怎么运算,流水线的思想。-This is achieved mainly two kinds of RGB and YUV color space conversion, which uses the main idea is, verilog language how floating point multiplication operations, lines of thought.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1088378
    • 提供者:张元甲
  1. calculator

    0下载:
  2. 基于51单片机和液晶1602的简易计算器,可进行加减乘除浮点型运算和清除、即时显示等功能-51 single-chip microcomputer and LCD based on the 1602 simple calculator, can add, subtract, multiply and divide floating point operations and clear, type instantly shows etc. Function
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:2119
    • 提供者:zhuhanghua
  1. TMS320C672x_lib_example_code

    0下载:
  2. 文件内容为TI的浮点DSP TMS320C672x的芯片ROM库中相关函数的使用方法,包括数学库、通用函数库,快速运算库等的具体例程。分别介绍了不同库函数的应用方法,以及其在DSP/BIOS中的使用方法。-File content floating-point DSP TMS320C672x TI chip ROM library to use the relevant functions, including the math library, general library, fast ar
  3. 所属分类:DSP program

    • 发布日期:2017-04-03
    • 文件大小:637209
    • 提供者:钟伟
  1. 1001

    0下载:
  2. 高精度计算,可适用于浮点型数据的乘法运算,自己编的请指教,题目来源ACMPKU1001-High-precision calculation, applicable to floating-point data multiplication, own please advice ACMPKU1001 Title Source
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-17
    • 文件大小:8247
    • 提供者:Tim
  1. post_norm_addsub

    0下载:
  2. 浮点加减运算的后规格化VHDL程序源代码,很不错,希望对大家有用-Floating-point addition and subtraction operations after the standardized VHDL source code, it is good, I hope all of you a useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2915
    • 提供者:zhshup
  1. ColorednoisebasedontheDSP

    0下载:
  2. 文章提出了基于多带语减法改进的增强系统,并在TI会司的浮点处理器TMS320C6713上实现。改进的多带语减法将信号频语非线性的分成4个互不重叠的频带, 每个频带分别做不同系数的语减运算, 因此能很好的滤除有色噪声。工程实现证明此设计能有效的抑制色噪声和音乐噪声, 即便在低信嗓比环境也能有较好增强效果.-In this paper, a language based on multi-band subtraction improved augmentation system, and TI wi
  3. 所属分类:DSP program

    • 发布日期:2017-04-01
    • 文件大小:367379
    • 提供者:凝雪
  1. httperf

    0下载:
  2. 通信负载发生器的实现: FullHttperf是一个探测Web服务器详尽信息和Web接口性能以及服务器计算性能的工具。其中Httperf是基于惠普HP实验室负载发生器的模型,可提供一个能产生各种HTTP工作负载、测试Web服务器接口性能的灵活设备。MyProber是PHP探针程序,可获得服务器十分详尽的参数描述,并可对服务器的浮点和整数运算能力进行精确测试。-Communications load generator: FullHttperf is a Web server to detec
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-01
    • 文件大小:152620
    • 提供者:刘方
  1. IQMathchinese

    0下载:
  2. ti公司TMS320C28X系列的IQMATH库为C/C++程序员收集了高度优化和准确的数学函数库并精确的在TMS320C28X芯片上将浮点算法 转换成固定点的运算代码,此文件为汉化版,为英文不好的技术人员提供了便利-ti company TMS320C28x series IQMATH library for C/C++ programmers to collect highly optimized and accurate mathematical function library an
  3. 所属分类:File Formats

    • 发布日期:2017-05-06
    • 文件大小:1511365
    • 提供者:曾意
  1. alagetestaboutmutiply

    0下载:
  2. 执行大量浮点乘法和加法运算 以及速度完善的测试-a large speed test about mutiply
  3. 所属分类:CSharp

    • 发布日期:2017-04-13
    • 文件大小:2265
    • 提供者:hhh
  1. fpadd

    1下载:
  2. 利用verilog hdl编写的浮点加法器运算单元,单精度。-Verilog hdl prepared to use floating-point adder computing unit, single-precision.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-16
    • 文件大小:12452
    • 提供者:孟军
  1. adderenv

    0下载:
  2. 产生浮点加法运算单元的测试激励及期望输出-Floating-point addition operations generate unit test incentives and the desired output
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-15
    • 文件大小:5084
    • 提供者:孟军
  1. FLOAT

    0下载:
  2. 介绍关于FPGA的浮点加法器运算单元设计-Information on floating-point FPGA-adder cell design computing
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:202910
    • 提供者:luxh
  1. jisuanqi

    0下载:
  2. 能够实现简单的运算功能,但浮点暂时还不能处理。-Of simple arithmetic can be achieved, but temporarily can not handle floating point.
  3. 所属分类:Dialog_Window

    • 发布日期:2017-04-07
    • 文件大小:31101
    • 提供者:王羲之
  1. jisuanqi

    0下载:
  2. 实现加减乘除sqr和abs函数的任意整型和浮点型运算-Addition and subtraction and multiplication and division to achieve abs function sqr arbitrary integer and floating-point operations
  3. 所属分类:matlab

    • 发布日期:2017-04-03
    • 文件大小:982987
    • 提供者:谢唯萍
  1. Calculator

    0下载:
  2. 多功能计算软件程序清单 功能要求:具备整型数据、浮点型数据的算术(加、减、乘、除)运算功能。 依次输入运算符(+,-,*,/)、第一个运算数、第二个运算数,然后输出结果, 当用户输入 Q 则退出系统,否则允许用户连续进行运算。-Multi-function calculation software program list functional requirements: with integer data, floating-point data arithmetic (ad
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-03
    • 文件大小:36132
    • 提供者:kk
  1. jiafajisuanqi

    0下载:
  2. 简单计算器,用VC++基于对话框可以加减乘除运算。分成整型浮点等-cauculate
  3. 所属分类:Other systems

    • 发布日期:2017-05-14
    • 文件大小:3428460
    • 提供者:王宇
  1. r2fft

    0下载:
  2. 实现浮点基于时间抽取的基2-FFT算法。其中有三个子函数,分别实现旋转因子的生成、位倒序和FFT运算。-Radix-2 FFT Algorithm Implementation <float>
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-02
    • 文件大小:48259
    • 提供者:罗正平
  1. Floating-Point-Adder

    1下载:
  2. 浮点数加法器IP核的vhd设计。浮点数加法运算是运输中使用最高的运算,结合vhdl和EPGA可编程技术,完成具有5线级流水线结构、符合IEEE 754浮点标准、可参数化为单、双精度的浮点数加法器。-Floating point adder design IP core vhd. Floating-point addition operation is used in most transport operations, combined with vhdl and EPGA programmab
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:154913
    • 提供者:凌音
  1. 87

    0下载:
  2. 本设计以STC89C516RD+单片机为控制运算器,以8*6矩阵键盘控制,以12864ZB液晶显示,以9V电池供电。 对于此计算器我用了38个键的矩阵键盘,10个数字键,1个小数点键,1个正负键,4个常用运算键,1个等号键,1个清零键,1个第二功能键,4个三角函数运算键,4个常用公式键,还有8个其它功能键。此计算器与常用计算器无很大差别,其中四则运算、阶乘、百分比、平方、立方、组合、乘方、倒数都可以精确到十位 ,其它运算因为调用到C语言函数库,为浮点型,有效位只有7位。 -The des
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:78183
    • 提供者:huangyanfeng
« 1 2 ... 8 9 10 11 12 1314 15 16 »
搜珍网 www.dssz.com