CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 状态机设计

搜索资源列表

  1. uart_VHDL

    0下载:
  2. uart的vhdl实现代码 分模块设计和状态机设计 不错的,用它没错-UART achieve the VHDL code modular design and state machine design good, the right to use it
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:10594
    • 提供者:王平
  1. zzcl_vhdl

    0下载:
  2. VHDL编写的八位9值信号的中值输出方法,特点是不采用流水或状态机设计,因此速度较快-VHDL prepared by eight of the nine value signal output value method, which is characterized by not using water or state machine design, therefore faster
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2617
    • 提供者:xiangli
  1. 112345

    0下载:
  2. 一篇经典状态机设计的资料,希望对大家有用-a classic state machine design information and useful for all
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:164740
    • 提供者:康国君
  1. VHDL

    0下载:
  2. 基才VHDL状态机设计的智能交通控制灯 设计 有需要的可以看一下-only VHDL-based state machine design and intelligent traffic control lights need to design can look at the
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:139501
    • 提供者:杨树茂
  1. VHDL

    0下载:
  2. 基才VHDL状态机设计的智能交通控制灯 有需要的可以看一下-only VHDL-based state machine design and intelligent traffic control lights need to see what
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:261626
    • 提供者:杨树茂
  1. moore

    0下载:
  2. Moore型状态机设计,基于VHDL.能够根据微处理器的读写周期,分别对应存储器输出写使能WE和读使能OE信号.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:25753
    • 提供者:weixiaoyu
  1. miniuart

    0下载:
  2. vhdl实现miniUART代码 分模块设计和状态机设计,内核超级小
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:89978
    • 提供者:harrybird
  1. verilog_shili

    0下载:
  2. 计数器 锁存器 12位寄存器 带load,clr等功能的寄存器 双向脚(clocked bidirectional pin) 一个简单的状态机 一个同步状态机 用状态机设计的交通灯控制器 数据接口 一个简单的UART 测试向量(Test Bench)举例: 加法器源程序 相应加法器的测试向量test bench)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:11433
    • 提供者:李进来
  1. Mars

    0下载:
  2. 利用有限状态机设计的一个windows下的简易火星鼠游戏~通过此代码,可以研究一下状态机的机理
  3. 所属分类:GDI/图象编程

    • 发布日期:2008-10-13
    • 文件大小:80840
    • 提供者:王志刚
  1. MOORE

    1下载:
  2. 状态机设计,用VHDL进行MOORE型状态机的设计。原程序以及波形图
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:190301
    • 提供者:wang
  1. MEALY

    1下载:
  2. 状态机设计,用VHDL进行MEALY型状态机的设计。由于两个程序本身有延时现象,本实验进行了改进。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:157438
    • 提供者:wang
  1. FSMGenerator10b7_win

    0下载:
  2. 状态机设计源代码-state machine design source code
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:161754
    • 提供者:陆科进
  1. StateCAD独立运行版

    0下载:
  2. 状态机设计工具,ISE11以后都没有集成了。这个版本可独立运行,不需要ISE
  3. 所属分类:VHDL编程

    • 发布日期:2011-08-17
    • 文件大小:3567928
    • 提供者:oceanx
  1. liuVHDL.rar

    0下载:
  2. 一种基于状态机设计的串并行转换电路,将LTC1196(ADC)的串行输出数据转换成并行数据的转换电路, ADC的时钟由转换电路提供,,Design a state machine based on parallel conversion circuit of the series will be LTC1196 (ADC) output of the serial data into parallel data conversion circuit, ADC clock provided by
  3. 所属分类:Com Port

    • 发布日期:2017-03-31
    • 文件大小:12167478
    • 提供者:刘广清
  1. code.rar

    0下载:
  2. 使用状态机设计一个5位序列检测器。从一串二进制码中检测出一个已预置的5位二进制码,The use of state machines to design a sequence detector 5. From a string of binary code to detect a preset binary code of 5
  3. 所属分类:Other systems

    • 发布日期:2017-03-23
    • 文件大小:48468
    • 提供者:evelyn
  1. aloha

    1下载:
  2. 关于运用Opnet软件进行aloha状态机设计及编程的视频教学 -Opnet software on the application of aloha state machine design and programming of video teaching
  3. 所属分类:Video Capture

    • 发布日期:2017-05-12
    • 文件大小:2673349
    • 提供者:许晴
  1. fsm

    1下载:
  2. 有限状态机工作原理、设计方法、步骤等精要说明-Finite state machine working principle, design method, such as Essentials of steps to explain
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-13
    • 文件大小:3187520
    • 提供者:www
  1. state-machine-design

    0下载:
  2. 状态机设计的苦干个不错的例子,VHDL语言编写,相信会对verilog的学习者有帮助-State machine design a good example of hard work, VHDL language.Ithink it will help verilog learners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:189513
    • 提供者:王建伟
  1. bujindianji

    0下载:
  2. 利用FPGA,VHDL语言的状态机设计步进电机驱动。-FPGA, VHDL language state machine design stepper motor driver. . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:293299
    • 提供者:卢宇生
  1. 10010

    0下载:
  2. Verilog状态机设计-10010序列检测器-Verilog state machine design-10010 Sequence Detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:823
    • 提供者:txj
« 1 2 34 5 6 7 8 9 10 ... 20 »
搜珍网 www.dssz.com