CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 状态机

搜索资源列表

  1. bitfsm-0.7-beta

    0下载:
  2. 很牛的有限状态机源码,图形化组态,游戏开发者需要仔细研究-Finite state machine is cattle source, graphical configuration,Game developers need to carefully study
  3. 所属分类:Game Server Simulator

    • 发布日期:2017-03-30
    • 文件大小:62448
    • 提供者:叶炜
  1. Verilog-uart

    0下载:
  2. Verilog状态机实现的串口串口收发模块 -Verilog state machine for uart
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1205330
    • 提供者:fu
  1. vgaz2

    0下载:
  2. 用VHDL实现VGA信号控制的源代码 状态机 -VHDL implementation of the VGA signal with source code control state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1444
    • 提供者:keyCSky
  1. JTAG_timing

    0下载:
  2. 用VHDL实现的JTAG时序,其中有16个状态机来控制产生该时序。-jtag timing implemented by VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:34557
    • 提供者:liuqi
  1. socks5

    0下载:
  2. 用状态机来写一个socks5代理服务器,为了移植性和很多新手都在用windows,异步驱动我就只用select而没用epoll。-I have written a Proxy Socks5 server by using finite-state machine. Because of the so many people are studying under Windows, I just implement it by select not by epoll.
  3. 所属分类:Proxy Server

    • 发布日期:2017-03-24
    • 文件大小:289636
    • 提供者:Wujiahao
  1. migong

    0下载:
  2. 用有限状态机实现的迷宫求解。 和大部分的迷宫程序相同,迷宫的解决方法是 递归或者是用堆栈来模拟递归,这个程序也不例外。但是我们知道普通的迷宫求解程序通常是用一种递归的方法来实现的。程序从一开始运行就不断的进行递归,直到找到迷宫的出口。但是这对一个演示程序来说是不行的,我们需要演示一个迷宫行走者在迷宫中的行走过程。 因此我们用另外的方式来实现,我们首先要需要一个状态机来记录当前行走者的状态,比如说他是处在行走还是寻找一个新的可以到达的地方的状态,因此我使用了一个有限状态机来
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-25
    • 文件大小:109750
    • 提供者:徐营权
  1. state-flowing-water-light

    0下载:
  2. 基于状态机的流水灯设计 适合初学者学习-Based on fpga VHDL state machines of flowing water light
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:69003
    • 提供者:启哈发
  1. electronic_lock

    0下载:
  2. 该电子密码锁设计包含四个模块:键盘、状态机模块、显示模块、时钟模块。密码的位数为6位。-The electronic lock design consists of four modules: the keyboard, the state machine module, display module, clock module. The password median 6.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1030832
    • 提供者:Jason
  1. SHERT

    0下载:
  2. 滚动输出文字 有限状态机:初始、左移、右移、直通 虚拟位、纯状态机-Scroll through the output text Finite state machines: the initial, left, shift right, straight Virtual spaces, pure state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1574
    • 提供者:梁姗姗
  1. state-machine

    0下载:
  2. 状态机的基本概念; 如何写好状态机; 使用工具分析FSM。-state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:473146
    • 提供者:段永远
  1. state-machine

    0下载:
  2. pdf描述状态机的基本概念,外加三种状态的源代码-state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:676855
    • 提供者:段永远
  1. VHDLBasicExperimentSJTU

    0下载:
  2. 上海交大几个基础VHDL 实验的代码,包括分频器,计数器,七段计数器,状态机,锁存器等-Shanghai Jiaotong University and a few experiments of basic VHDL code, including the frequency divider, timer, seven segment counter, state machines, latches, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:864836
    • 提供者:魏玉萍
  1. ThBird

    0下载:
  2. 雷鸟车尾灯设计,采用VERILOG语言开发,大家可以逐渐熟悉状态机实验。-Thunderbird car taillight design, using VERILOG language, everyone can become familiar with the state machine experiment.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:405568
    • 提供者:仲崇鑫
  1. ps_top

    0下载:
  2. verilog写ps2接口驱动程序,对状态机的描述。把键盘串行的13为数据转换为并行的8为数据,并储存在寄存器-The needle verilog write ps2 interface drivers, to the descr iption of the state machine. The keyboard for data transfer of serial and parallel for the 8 for data, and stored in a register to xi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3683
    • 提供者:张康
  1. fsm

    0下载:
  2. 状态模式编写状态机,该状态机用基于面向对象的语言的编写,-State mode to write the state machine, the state machine to write based on object-oriented languages​ ​ ,
  3. 所属分类:Process-Thread

    • 发布日期:2017-04-05
    • 文件大小:1909
    • 提供者:林冲
  1. C

    0下载:
  2. 嵌入式系统的为模块化程序设计,使用状态图c/c++实现,通过使用二维表来实现状态机-Embedded systems for modular programming, using the state diagram c/c++ to implement a state machine by using two-dimensional table
  3. 所属分类:Embeded Linux

    • 发布日期:2017-05-13
    • 文件大小:3565097
    • 提供者:林冲
  1. sv_fsm

    0下载:
  2. 使用二维表来实现状态机,该状态机用在通信协议中,基于TCP协议-Using two-dimensional table to implement a state machine, the state machine is used in communication protocols based on TCP protocol
  3. 所属分类:TCP/IP Stack

    • 发布日期:2017-04-06
    • 文件大小:13637
    • 提供者:林冲
  1. AI-framework

    0下载:
  2. 用js写的状态机框架 实现AI的必备佳品-AI framework
  3. 所属分类:Game Engine

    • 发布日期:2017-04-03
    • 文件大小:3571
    • 提供者:王北辰
  1. LightControl

    0下载:
  2. 简单状态机的设计——雷鸟车尾灯控制器设计-Simple state machine design- Thunderbird taillights controller design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:262473
    • 提供者:jake
  1. dada

    0下载:
  2. ad数据采集 一路的AD数据采集 使用状态机控制AD时序-data collection
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:2185
    • 提供者:谢景磊
« 1 2 ... 42 43 44 45 46 4748 49 50 »
搜珍网 www.dssz.com