CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 移位寄存器

搜索资源列表

  1. register

    0下载:
  2. 用Verilog语言写一个简单的移位寄存器,可以进行算术移位和逻辑移位。-Verilog language used to write a simple shift register, can be arithmetic shift and logical shift.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:314237
    • 提供者:sunying
  1. generating-M-sequence-

    0下载:
  2. 用带反馈通道的移位寄存器产生PRBS序列信号。要选择合适的通道,经模二加法后进行反馈。在程序中,移位寄存器个数可从2到13中任意选择,其长度也可以自由选择。运行结果在vc++的运行环境中能看得很清楚,最后产生的M序列数据也存在相应的数据文件中。-With a shift register with feedback channel PRBS sequence generated signals. To select the appropriate channel, molded after th
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-03
    • 文件大小:4766
    • 提供者:chenshan
  1. CZ6603

    0下载:
  2. CZ6603芯片是一个具有恒定电流的LED驱动器,它主要为装饰性LED照明系统及室内/外LED显示屏而设计,非常适合用于需要接力串接的LED照明系统。CZ6603拥有3个独立的输出驱动通道,每个通道均能实现独立的256级PWM灰度控制,并能输出高达100mA的恒定LED驱动电流。CZ6603内部包含串行移位寄存器,数据锁存器,输出寄存器,带隙基准电压源,内部振荡器和可编程恒定电流驱动器。CZ6603的2-线传输控制方法由华夏经典微电子专门为可级联的LED照明装饰系统所设计,具有速度快,可靠性高,
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:877020
    • 提供者:yu
  1. shift4

    0下载:
  2. 移位寄存器中的数据可以在移位脉冲作用下一次逐位右移或左移,数据既可以并行输入、并行输出,也可以串行输入、串行输出,还可以并行输入、串行输出,串行输入、并行输出,十分灵活,用途也很广。 -The data in the shift register in shift pulses can move or by bit right next moves left, data can be parallel input, parallel output, also can serial input,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:192196
    • 提供者:张凯
  1. register

    0下载:
  2. 用VHDL语言实现各种寄存器,包括简单移位寄存器,并行存取的移位寄存器,算术移位和逻辑移位寄存器-VHDL language using a variety of registers, including a simple shift register, parallel access shift registers, arithmetic and logic shift register shift
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1619173
    • 提供者:吴鸿腾
  1. Example-4-16

    0下载:
  2. 串并转换建模 数据流串并转换的实现方法多种多样,根据数据的排序和数量的要求,可以选用移位寄存器、RAM等来实现。对于数据量比较小的设计来说,可以使用移位寄存器完成串并转换;对于排列顺序有规定的串并转换,可以用case语句判断实现;对于复杂的串并转换,还可以用状态机实现-Modeling serial data stream and convert the realization of string and convert many ways, sort and quantity of the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:17909
    • 提供者:林立
  1. m__sequence

    0下载:
  2. 用6级移位寄存器实现产生m序列,希望对各位有所帮助-Shift registers with a 6 m sequence generation, want to help you
  3. 所属分类:matlab

    • 发布日期:2017-04-09
    • 文件大小:701
    • 提供者:王勇
  1. mseq

    1下载:
  2. simulink下m序列的产生,使用5级移位寄存器,简单易懂-m sequence generation under simulink
  3. 所属分类:matlab

    • 发布日期:2015-06-02
    • 文件大小:7168
    • 提供者:bluekiller
  1. shizhong

    0下载:
  2. 单片机89S51控制6个数码管显示时,分,秒。其中,位码通过P0口的P0^0-P0^5 控制,段码通过74LS164的串入并出的移位寄存器来送出。-6 MCU 89S51 control digital display hours, minutes and seconds. Among them, the bit code through the P0 port P0 ^ 0-P0 ^ 5 control, the string section of code into and through
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:1025
    • 提供者:陈学虎
  1. pCApFDpD7pD6

    0下载:
  2. 分频编码,移位寄存器编码, 分频编码,移位寄存器编码,-shift register
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:805927
    • 提供者:陈贤
  1. ourdev_500881

    0下载:
  2. 驱动移位寄存器74HC595 调试手记,祭奠为我所犯错误而牺牲的时间-Drive the shift register 74HC595 debugging notes for me, marking mistake and sacrifice of time
  3. 所属分类:SCM

    • 发布日期:2017-05-06
    • 文件大小:1277796
    • 提供者:陈贤
  1. 1

    0下载:
  2. 掌握各类触发器、移位寄存器的触发方式、逻辑功能及原理-Master various types of triggers, trigger the shift register, logic functions and principles
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:149914
    • 提供者:mac
  1. ShiftRegCore

    0下载:
  2. 基于verilog 的移位寄存器sopc软核-verilog based Shift Reg sopc soft core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:330708
    • 提供者:陈寅生
  1. system-identification

    0下载:
  2. 系统辨识用matlab产生M序列,移位寄存器实现-System identification using matlab generate M sequence, the shift registers
  3. 所属分类:matlab

    • 发布日期:2017-03-24
    • 文件大小:15499
    • 提供者:wangzhen
  1. 100vhdl

    0下载:
  2. VHDL100个例子,讲述VHDL基本应用,如加法器,移位寄存器等。-VHDL100 example, about VHDL basic applications, such as adders, shift registers.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:221772
    • 提供者:wuhongyuan
  1. clock

    0下载:
  2. 闹钟系统的控制 闹钟系统的移位寄存器 闹钟系统的闹钟寄存器和时间计数器 闹钟系统的显示驱动器 闹钟系统的分频器 闹钟系统的整体组装-Alarm system, alarm system control shift register alarm system alarm registers and the time counter display driver alarm system, alarm system, alarm system, the overall a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:20063
    • 提供者:houxinghai
  1. digital-lock

    0下载:
  2. 电子密码锁 功能如下: l、按键接口的设计 包括: 1)键盘扫描电路 2)弹跳消除电路 3)键盘译码电路 4)按键存储电路 2、密码锁的控制电路设计 包括: 1)按键的数字输入、存储及清除 2)功能按键的功能设计 3)移位寄存器的设计与控制 4)密码清除、变更、存储、激活电锁电路 5)密码核对、解除电锁电路 3、输出七段显示电路的设计 包括: 1)数据选择电路 2)BCD对七段显示译码电路 3)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:129774
    • 提供者:ldong1989
  1. 74hc165

    0下载:
  2. 本例子是用硬件SPI接口循环发送一个变量到74HC595,并且在数据发送完毕后通过单片机的另外一个IO接口PB2输出一个“锁存”脉冲 ,使74HC595把移位寄存器的数据输出到锁存寄存器,并驱动8个LED输出,实现来回流水的效果。-This example is using the hardware SPI interface to send a variable to the loop 74HC595, and after the data is sent through the microc
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:5072
    • 提供者:张玉坤
  1. shrot

    0下载:
  2. 桶形移位寄存器设计,8位的筒形移位寄存器,可以在1个时钟周期内将数据右移任意指定位数。-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:411118
    • 提供者:葛运升
  1. fir

    0下载:
  2. 生成一个移位寄存器将输入暂存延时,另一个always块算出输出结果。-failed to translate
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-06
    • 文件大小:587131
    • 提供者:葛运升
« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 ... 29 »
搜珍网 www.dssz.com