CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 移位寄存器

搜索资源列表

  1. recover

    0下载:
  2. VHDL设计的HDB3的译码器,采用了四位移位寄存器来判断之前码元1/0,造成输出有5位时延。-VHDL design of HDB3 decoder, using four yards before the shift register to determine the yuan 1/0, resulting in output has five delay.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:132126
    • 提供者:wxc
  1. sci2

    0下载:
  2. 串行通信DSP通过移位寄存器输出数据,一个很简单的程序-spi I don t know how to say it in English.
  3. 所属分类:OS Develop

    • 发布日期:2017-04-03
    • 文件大小:272740
    • 提供者:sysgrow
  1. spartan6_fpga_blockram_user_guide

    0下载:
  2. Spartan6 FPGA中的块存储器使用指南,可以构建为FIFO,ROM,RAM,移位寄存器等。-Spartan6 FPGA block memory in the User Guide, you can build for FIFO, ROM, RAM, shift registers and so on.
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:376923
    • 提供者:james
  1. tongREG

    0下载:
  2. 桶型移位寄存器,用于寄存器的移位,用vhdl编写 -Barrel shift register for shift register, with write vhdl
  3. 所属分类:software engineering

    • 发布日期:2017-04-10
    • 文件大小:606
    • 提供者:窦龙
  1. LFSR

    0下载:
  2. LFSR模块,单个模块,实现移位寄存器,生成测试用pattern-LFSR
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2381
    • 提供者:henin
  1. chuanxing

    0下载:
  2. 串行通信MCS-51单片机内部有一个全双工的串行通信口,即串行接收和发送缓冲器(SBUF),这两个在物理上独立的接收发送器,既可以接收数据也可以发送数据。但接收缓冲器只能读出不能写入,而发送缓冲器则只能写入不能读出,它们的地址为99H。这个通信口既可以用于网络通信,亦可实现串行异步通信,还可以构成同步移位寄存器使用。-MCS-51 microcontroller serial communication within the a full-duplex serial communication
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:16437
    • 提供者:王杰杰
  1. D

    0下载:
  2. 实现了程序控制的反馈移位寄存器,包括开关防抖,时钟整形,移位,和码型控制-Achieve program control of the feedback shift register, including anti-shake switch, clock shaping, shift, and code-mode control
  3. 所属分类:assembly language

    • 发布日期:2017-03-30
    • 文件大小:652
    • 提供者:datrick
  1. getpoly_bm

    0下载:
  2. 利用BM算法求解线性移位寄存器生成多项式-get LFSR sequence prime Polynomial by BM algorithm
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:782
    • 提供者:黄英
  1. getpoly_ojld

    1下载:
  2. 利用欧几里德算法求解线性移位寄存器生成多项式-get LFSR sequence prime Polynomial by Euclids algorithm
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:975
    • 提供者:黄英
  1. GenPoly_matric

    1下载:
  2. 利用线性移位寄存器序列的线性关系,用求解矩阵的方法求解移存器序列的生成多项式-get LFSR sequence prime Polynomial by the line relationship of the sequence
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:811
    • 提供者:黄英
  1. getpoly_bm1

    2下载:
  2. 利用BM算法求解线性移位寄存器生成多项式-get LFSR sequence prime Polynomial by BM algorithm
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:1057
    • 提供者:黄英
  1. GetPolyDFTMethod

    1下载:
  2. 利用傅里叶变换求解线性移位寄存器生成多项式-get LFSR sequence prime Polynomial by sequence DFT
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:1019
    • 提供者:黄英
  1. gen_m_Seq

    0下载:
  2. 利用线性移位寄存器的线性关系,输出一个m序列-get m sequence by the relationship of the Line Feedback Shift Register
  3. 所属分类:matlab

    • 发布日期:2017-04-06
    • 文件大小:610
    • 提供者:黄英
  1. digital_tube_display_using_74ls164

    0下载:
  2. 采用移位寄存器74ls164实现了LED数码管的显示,只要扩充74ls164就可以实现多个数码管的显示-74ls164 achieved using shift registers LED digital tube display, can be achieved as long as the expansion of 74ls164 multiple digital tube display
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1099
    • 提供者:杨亦红
  1. cacode_fs

    0下载:
  2. GPS CA码的移位寄存器-程序--以及fs采样为离散点-。-GPS CA code shift register- procedures- as well as the fs sampling for the discrete points-.
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:1433
    • 提供者:纪晓岚
  1. VHDL

    0下载:
  2. VHDL语言例程集锦,包括组合逻辑、计数器、移位寄存器、存储器等处理。-VHDL language routines Collection, including the combinational logic, counters, shift registers, memory and other processing.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:173199
    • 提供者:liu
  1. m_serial

    0下载:
  2. 本实验利用单片机实现m序列的输出,其移位寄存器的长度为3 - 8可循环调节。单片机板上按键KEY1实现级数n的循环自加,按键KEY2实现级数n的循环自减,输出口P1^4输出m序列周期同步信号,输出口P1^6输出相应的m序列。-In this study, the use of single-chip microcomputer m sequence of output, the length of the shift register 3- 8 can be recycled adjustabl
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:2712
    • 提供者:weiwei
  1. PRSG

    0下载:
  2. 线性同余发生器, 反馈移位寄存器,组合发生器这三个算法加实现并有可执行文件。另外还有PPT和文档-PRSG
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-05
    • 文件大小:586864
    • 提供者:luhua
  1. ggzly

    0下载:
  2. 多频超声处理器控制板,芯片P89LPC936,程序主要包括:192x64液晶驱动(19264.c),用移位寄存器4015作键盘拓展,以及用4015作多路输出(mainc)。以及P89LPC936EEPROM读写。-Multi-frequency ultrasonic processor, control panel, chip P89LPC936, procedures will include: 192x64 LCD Driver (19264.c), with the shift regis
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:627088
    • 提供者:黎池元
  1. line

    0下载:
  2. 用VC实现的线性移位寄存器源码,经本人调试,可直接运行。-Achieved with a linear shift register VC source code, after I debug, can be directly run.
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:467242
    • 提供者:李莉
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 29 »
搜珍网 www.dssz.com