CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 移位寄存器

搜索资源列表

  1. LFSR

    0下载:
  2. 自动生成线形反馈移位寄存器的各种HDL源代码和原理图的工具
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:163107
    • 提供者:zx
  1. shifter

    0下载:
  2. 双向可控移位寄存器及存储器设计实验 双向可控移位寄存器及存储器设计实验
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:29603
    • 提供者:发啊饿
  1. lfsr6s3

    0下载:
  2. 线性反馈移位寄存器Verilog源程序,能够产生伪随机序列
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:1844
    • 提供者:帅哥
  1. spi_execution_plib_examples

    0下载:
  2. SPI是一个环形总线结构,由ss(cs)、sck、sdi、sdo构成,其时序其实很简单,主要是在sck的控制下,两个双向移位寄存器进行数据交换。 假设下面的8位寄存器装的是待发送的数据10101010,上升沿发送、下降沿接收、高位先发送。 那么第一个上升沿来的时候 数据将会是sdo=1;寄存器=0101010x。下降沿到来的时候,sdi上的电平将所存到寄存器中去,那么这时寄存器=0101010sdi,这样在8个时钟脉冲以后,两个寄存器的内容互相交换一次。这样就完成里一个spi时序。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:29267
    • 提供者:王朝
  1. 595

    1下载:
  2. 单片机c51系列控制74h595移位寄存器驱动LED显示
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:807
    • 提供者:dongxiaowei
  1. genm

    1下载:
  2. m序列生成程序matlab实现此函数用来生成最大长度线性移位寄存器序列
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:2686
    • 提供者:双玲
  1. 伪随机序列

    0下载:
  2. 线形反馈移位寄存器(LFSR)是数字系统中一个重要的结构,本程序可以自动产生AHDL,VHDL,Verilog的源代码及电路原理图。程序可以运行在win98/2000/NT平台-linear feedback shift register (LFSR) digital system is an important structure, the process can be automatically generated AHDL, VHDL, Verilog source code and ci
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:163107
    • 提供者:夏沫
  1. 电子拔河

    0下载:
  2. 电子拔河游戏的实现, 二极管,移位寄存器和计数器的实现-electronic game of tug-of-war to achieve, diodes, shift register and counter the realization
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:21940
    • 提供者:jojo
  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. 16*32点阵

    0下载:
  2. 16*32点阵驱动,实现左右上下移等功能,由一块74hc154译码器和74hc595移位寄存器作行和列驱动
  3. 所属分类:源码下载

  1. 单片机的键盘和显示

    0下载:
  2. 单片机的键盘和显示,8031单片机的P1口作为8个按键的输入端,构成独立式键盘。四个LED显示器通过四个串/并移位寄存器74LS164接口至8031的串行口,该串行口应工作在方式0发送状态下,RXD端送出要显示的段码数据,TXD则作为发送时钟来对显示数据进行移位操作。 自定义每个键的显示功能,当某一键按下时执行相应的服务子程序,在四个显示器上显示一定的内容。 ,Single-chip microcomputer keyboard and display, the P1 port 8031 a
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-03-26
    • 文件大小:663
    • 提供者:丽红
  1. RSC.rar

    0下载:
  2. Turbo码编码器的两个分量编码器RSC,主要由四个移位寄存器和两个模2加法器组成,Turbo code encoder of the two component encoders RSC, mainly by the four shift register and the two-mode adder composed of 2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1025
    • 提供者:sunhao
  1. Verilog_code_for_AWGN.rar

    1下载:
  2. verilog实现awgn信道噪声的代码,支持可变的信噪比。利用移位寄存器来实现伪随机序列。,verilog code for implementation of awgn channel noise. support variable snr. use LSFR to implement the pseudo random sequence.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-02-08
    • 文件大小:10555272
    • 提供者:xiejin
  1. shifter.rar

    0下载:
  2. 移位寄存器,可以串行输入,并行输入,串行输出,Shifter register which can
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:725
    • 提供者:吴传平
  1. 74ls595.rar

    0下载:
  2. 单片机与74LS595(8位输出锁存移位寄存器)的使用方法 74595的数据端: QA--QH: 八位并行输出端,可以直接控制数码管的8个段。 QH : 级联输出端。我将它接下一个595的SI端。 SI: 串行数据输入端 ......... ,SCM and 74LS595 (8-bit output latch shift register) 74595 to use the data side: QA- QH: 8 parallel output can directly co
  3. 所属分类:Project Manage

    • 发布日期:2017-03-25
    • 文件大小:5112
    • 提供者:eric
  1. vhdl.rar

    0下载:
  2. 74ls164 8位移位寄存器 串入并出,74ls164 8-bit shift register and a string into
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1049
    • 提供者:fankexing
  1. cd4094.rar

    0下载:
  2. 用51单片机 实现cd4094 移位寄存器的控制,写得很简洁,易懂。,Cd4094 with 51 single-chip realization of the control shift register, write a very simple, easy to understand.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-30
    • 文件大小:6437
    • 提供者:劳中堂
  1. shift-register-sequences

    0下载:
  2. 一本介绍产生移位寄存器序列的经典书籍!欢迎下载阅读-Introduce a shift register sequence generated classic books! Welcome to download reading
  3. 所属分类:GIS program

    • 发布日期:2017-04-09
    • 文件大小:1974717
    • 提供者:李俊
  1. SHFRT4_1

    1下载:
  2. 四位串入并出移位寄存器,实现串并转换,已通过时序验证-Four series in and out of shift register, to achieve string and conversion, has passed the timing verification
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-09-22
    • 文件大小:200704
    • 提供者:寒星
  1. PIC-74LS164

    0下载:
  2. PIC单片机关于74LS164移位寄存器的汇编语言程序-74LS164 shift register on the PIC microcontroller assembly language program
  3. 所属分类:SCM

    • 发布日期:2017-03-24
    • 文件大小:1225
    • 提供者:yj
« 1 2 3 4 5 67 8 9 10 11 ... 29 »
搜珍网 www.dssz.com