CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 移位寄存器

搜索资源列表

  1. bid_shift_reg

    0下载:
  2. VHDL语言编写,实现双向移位寄存器功能,在MAX+plus软件下实现-VHDL language to achieve bi-directional shift register function MAX+ Plus software to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2080355
    • 提供者:画眉
  1. zj

    0下载:
  2. vhdl编程的,移位寄存器,八位,支持左移,右移-VHDL programming, shift register, 8, support the left, shifted to right
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:10896
    • 提供者:wangjun
  1. zj

    0下载:
  2. vhdl编程 实现移位寄存器 左移动和右移动-VHDL Programming shifter left and right moving mobile
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:918
    • 提供者:wangjun
  1. j

    0下载:
  2. vhdl编程 实现移位寄存器。左移和右移-VHDL programming shift register. The left and shifted to right
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2080355
    • 提供者:wangjun
  1. zj

    0下载:
  2. vhdl编程 实现移位寄存器。左移和右移-VHDL programming shift register. The left and shifted to right
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2628
    • 提供者:wangjun
  1. RL_SHIFT

    0下载:
  2. 带有同步预置的加载左右移位寄存器VHDL源代码-With synchronous preset load shift register about VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:150475
    • 提供者:sunrier
  1. 646346666

    0下载:
  2. 一种基于移位寄存器的CAM的VHDL实现,提供下载.-A shift register based on the CAM of VHDL, providing download.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:69467
    • 提供者:张大明
  1. MxIterative

    0下载:
  2. 该问题是线性移位寄存器的综合问题提出的,给定一个N长的 二元序列,如何求出产生这一序列的级数最小的线性移位寄存 器,即最短的线性移位寄存器 -The problem is that the linear shift register integrated question, given a N-long binary sequences, how to derive the sequence of series have the smallest linear shift regis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:960
    • 提供者:倪晨
  1. UART_SUCCESS

    0下载:
  2. 实现FPGA和上位机的串口通信,里面由波特率发生器,移位寄存器,计数器,detecter,switch,switch_bus等功能块综合而成。-FPGA implementation and the host computer' s serial communication, which by the baud rate generator, shift register, counters, detecter, switch, switch_bus such as function bl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1855434
    • 提供者:zhn
  1. AD0809

    0下载:
  2. 驱动AD0809并且LED显示,LED用移位寄存器连-AD0809 driver and LED display, LED even with shift register
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:1232
    • 提供者:任铁
  1. lfsr

    0下载:
  2. 线性反馈移位寄存器的matlab实现,可以任意设置抽头(抽头数小于等于3)-matlab implementation of Linear feedback shift register, you can set no more than three taps
  3. 所属分类:matlab

    • 发布日期:2017-04-14
    • 文件大小:2562
    • 提供者:tnt
  1. 74HC595

    0下载:
  2. 用于点真的扫描,的一样移位寄存器芯片,很好用的,能够帮助要用的人们使用!-dongxu
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-26
    • 文件大小:152034
    • 提供者:东旭
  1. 8051_164_led

    0下载:
  2. 利用8051串行口,和并行输出串行移位寄存器74LS164扩展一位数码显示在数码显示器上循环显示0-9这10个数字。-Using 8051 serial port, and parallel output serial shift register 74LS164 expansion of a digital display on the cycle in the digital display shows the 10 figure 0-9.
  3. 所属分类:assembly language

    • 发布日期:2017-04-29
    • 文件大小:14057
    • 提供者:朱重生
  1. faguangerjiguan

    0下载:
  2. 用89C51串行口外接CD4094移位寄存器扩展8位并行口,8位并行口的每位都接一个发光二极管,要求发光二极管从左到右以一定延迟轮流显示,并不断循环。-Using 89C51 external serial port expansion CD4094 shift register 8-bit parallel port, 8-bit parallel port of each one, all light-emitting diodes, light emitting diodes requir
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:734
    • 提供者:wfq
  1. 8-way-control-lantern

    0下载:
  2. 8路移存型彩灯题目要求两种花型,本次实验分别实现这两种花型,它的设计主要采用74194接成扭环形结构的移位寄存器来实现,整个电路主要由编码发生器、控制电路、脉冲发生器构成可以实现控制8个以上的彩灯,并且可以组成多种花型。 -8 subject lantern-type shift registers require two flower type, respectively, the experimental realization of the two flower types, it i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:551
    • 提供者:周游
  1. cd4000x

    0下载:
  2. CD4000 双3输入端或非门+单非门 TI   CD4001 四2输入端或非门 HIT/NSC/TI/GOL    双4输入端或非门 NSC   CD4006 18位串入/串出移位寄存器 NSC   CD4007 双互补对加反相器 NSC   CD4008 4位超前进位全加器 NSC   CD4009 六反相缓冲/变换器 NSC   CD4010 六同相缓冲/变换器 NSC   CD4011 四2输入端与非门 HIT/TI   CD4012 双4输入端与非门
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2422625
    • 提供者:徐科峰
  1. shfrt

    0下载:
  2. 改程序的设计的是带有并行置位的移位寄存器-Reform process is designed home with a parallel-bit shift register
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:162212
    • 提供者:luofeng
  1. SystemViewexample

    0下载:
  2. system view 实例模型包含数字调制QPSK,QAM.RICE衰落信道的仿真 数字频率合成器 线性移位寄存器 -system view instance of the model consists of digital modulation QPSK, QAM.RICE fading channel simulation of digital frequency synthesizer linear shift register, etc.
  3. 所属分类:matlab

    • 发布日期:2017-04-10
    • 文件大小:1773568
    • 提供者:mavis
  1. f48

    0下载:
  2. 描述了48分频的功能的原理图,使用16位移位寄存器来实现-48 describes the frequency of the schematic diagram of the function, the use of 16-bit shift register to achieve
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2405
    • 提供者:影子
  1. shift

    0下载:
  2. 用VHDL实现一个移位寄存器,是初学者需要掌握的一个简单的程序写法-Using VHDL realization of a shift register is available for beginners need a simple program written
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:289016
    • 提供者:波波
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 29 »
搜珍网 www.dssz.com