CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 移位寄存器

搜索资源列表

  1. BMalthorithm

    0下载:
  2. BM算法找出产生该段序列的最短级数的线性反馈移位寄存器(LFSR),如对序列a=(1001101011),结果为LFSR(25,4)即周期为25,寄存器级数为4,此处所给为固定长度。-Using BM algorithm to find the linear feedback shift registers with the least steps corresponding to certain sequence. For example, as for a=(1001101011), the
  3. 所属分类:Data structs

    • 发布日期:2017-04-03
    • 文件大小:164514
    • 提供者:richard
  1. gen_displayer

    0下载:
  2. 基于线性反馈移位寄存器电路,并结合FPGA 的特有结构,一种简捷而又高效的伪随机序列产生方法-The Implementation and Research on Pseudo-Random Number Generators with FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:2150
    • 提供者:王晓飞
  1. lfsr

    0下载:
  2. 伪随机序列产生器-线性反馈移位寄存器,Verilog HDL 原代码。-Pseudo-random sequence generator- linear feedback shift register, Verilog HDL source code.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-22
    • 文件大小:883
    • 提供者:李辛
  1. juanjima

    0下载:
  2. 卷积码的生成程序,为(2,1,3)移位寄存器的卷积码生成-Convolutional code generation process for the (2,1,3) convolutional code of the shift register to generate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:859905
    • 提供者:wind
  1. verilog

    0下载:
  2. Verilog桶形移位寄存器,实现不溢出移位-Verilog barrel shift register, the shift towards non-overflow
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1173
    • 提供者:蔡远学
  1. LFSR

    3下载:
  2. 简单的线性反馈移位寄存器标准C语言实现,采用visual c++2010编写,如果你打不开,请复制里面的.cpp文件采用visual c++6.0打开即可。 详细内容见源码-Simple linear feedback shift register the standard C language, written with visual c++2010, and if you can not open, please copy the inside. Cpp file using visua
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2014-04-16
    • 文件大小:784384
    • 提供者:李杨元
  1. Sequence-detector-design

    1下载:
  2. 序列检测器设计的思路大多都是用FSM来实现的,此思路是通过移位寄存器来实现序列检测-Sequence detector design ideas are often used to achieve the FSM, the idea is to achieve through the shift register sequence detection
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:31189
    • 提供者:lsp
  1. HDB3

    0下载:
  2. 用verilog HDL语言,通过一个4位移位寄存器实现一个信号转化为HDB3码并进行测试 -Using verilog HDL language, through a 4-bit shift register realization of a signal into HDB3 code and test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:940
    • 提供者:
  1. Berlekamp_Massey

    1下载:
  2. 移位寄存器中的基础算法,Berlekamp Massey算法-The basis of the shift register algorithm, Berlekamp Massey algorithm
  3. 所属分类:Algorithm

    • 发布日期:2017-05-10
    • 文件大小:2235115
    • 提供者:李东辉
  1. LFSR

    1下载:
  2. verilog实现的8阶伪随机序列发生器,文件包含了三种主要模块:控制模块,ROM模块,线性反馈移位寄存器(LFSR)模块。已经通过modelsim仿真验证。-verilog to achieve 8-order pseudo-random sequence generator, the file contains three main modules: control module, ROM modules, a linear feedback shift register (LFSR) mo
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-23
    • 文件大小:870339
    • 提供者:风影
  1. LXY28161-EN

    0下载:
  2. 零星雨16位恒流移位寄存器手册,零星雨16位恒流移位寄存器手册-Constant current shift registers Manual 16 sporadic rain, sporadic rain 16 constant current shift register
  3. 所属分类:File Formats

    • 发布日期:2017-11-14
    • 文件大小:716182
    • 提供者:余虎
  1. PRBS

    1下载:
  2. 用带反馈通道的移位寄存器产生PRBS序列信号。要选择合适的通道,经模二加法后进行反馈。在程序中,移位寄存器个数可从2到13中任意选择,其长度也可以自由选择。运行结果在vc++的运行环境中能看得很清楚,最后产生的M序列数据也存在相应的数据文件中。-Used with feedback channel shift register sequence generated PRBS signal. Please select the appropriate channels, by mode after
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-31
    • 文件大小:7373
    • 提供者:李明哲
  1. LFSR

    0下载:
  2. 伪随机序列产生器,线性反馈移位寄存器,原代码。-Pseudo-random sequence generator, linear feedback shift register, the original code.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-29
    • 文件大小:163107
    • 提供者:李辛
  1. ffcsr

    0下载:
  2. 伪随机序列产生器-filtered 代进位反馈移位寄存器,verilog hdl 原代码。-Pseudo-random sequence generator-filtered on behalf of binary feedback shift register, verilog hdl original code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2054
    • 提供者:李辛
  1. fcsr

    0下载:
  2. 伪随机序列产生器-代进位反馈移位寄存器,matlab 原代码。-Pseudo-random sequence generator- on behalf of binary feedback shift register, matlab source code.
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:1183
    • 提供者:李辛
  1. ffcsr

    0下载:
  2. 伪随机序列产生器-filtered 代进位反馈移位寄存器,matlab 原代码。-Pseudo-random sequence generator-filtered on behalf of binary feedback shift register, matlab source code.
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:1392
    • 提供者:李辛
  1. ffcsr

    0下载:
  2. 伪随机序列产生器-代进位反馈移位寄存器,电子书。-Pseudo-random sequence generator- on behalf of binary feedback shift register, e-books.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-05
    • 文件大小:707047
    • 提供者:李辛
  1. R

    0下载:
  2. 双向移位寄存器的原理设计程序,对于初学者将会有很大帮助,尤其在设计功能比较复杂的FPGA时,有些问题其实用这个就很简单-The principle of bi-directional shift register the design process, for beginners there will be a great help, especially in the design features of the FPGA more complex, there are some proble
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2628
    • 提供者:lijq
  1. VHDL1

    0下载:
  2. 移位寄存器和9人表决器电路的VHDL设计方案-Shift register people to vote and 9 of VHDL circuit design
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:1357
    • 提供者:asd
  1. 16X64dianzhen

    0下载:
  2. 16*64点阵程序,运用串行传输数据,移位寄存器接收数据,硬件电路连接简单-16* 64 lattice procedures, the use of serial transmission of data, receive data shift register, hardware circuits connected simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:39474
    • 提供者:qiang
« 1 2 3 4 5 6 78 9 10 11 12 ... 29 »
搜珍网 www.dssz.com