CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 移位寄存器

搜索资源列表

  1. clkgen

    0下载:
  2. 移位寄存器实现分频,避免大量使用分频代码-Frequency shift registers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:875
    • 提供者:dong_tsinghua
  1. 8-bitinput-output-shift

    0下载:
  2. 8位串行输入,串行输出移位寄存器 VHDL-8-bit serial input, serial output shift register VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:659
    • 提供者:LT
  1. 595chengxu

    0下载:
  2. 基于74HC595移位寄存器的程序设计的程序明细,通过移位寄存器控制LED发光-74HC595 shift register based on the details of program design process, through the shift register control LED light
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:4394
    • 提供者:古木
  1. shifter

    0下载:
  2. 四位移位寄存器的verilog代码,是我们老师编写的,非常专业,非常有参考价值-The verilog code of a 4-bit shifter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:2352
    • 提供者:电先生
  1. Mersenne-Twister-suijishufashengqi

    0下载:
  2. Mersenne Twister随机数发生器(Mersenne Twister generator,MTG for short)是Makoto Matsumoto于1998年对TGFSRG(旋转广义反馈移位寄存器发生器)的更进一步改进。-Mersenne Twister random number generator (Mersenne Twister generator, MTG for short) is Makoto Matsumoto in 1998 TGFSRG (rotation g
  3. 所属分类:matlab

    • 发布日期:2017-03-28
    • 文件大小:807478
    • 提供者:gaoyuan
  1. e4

    0下载:
  2. 用VHDL实现左右移位寄存器,代码简单,易于实现-left-right shifter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:4021
    • 提供者:蚂蚁
  1. snake

    0下载:
  2. 此VI是用来实现游戏贪吃蛇,通过LABVIEW循环及移位寄存器等功能实现。-This VI is used to implement the game Snake, and the shift register through LABVIEW circulation and other functions to achieve.
  3. 所属分类:Other Games

    • 发布日期:2017-03-29
    • 文件大小:87218
    • 提供者:liuhui
  1. shifter_8bit

    0下载:
  2. 此实验实现一个8位的循环移位寄存器,移位的频率是2Hz,移位的方向(左移或是右移)可控。为了能显示移位的结果,我们采用一个数码管的8个段来表示这个寄存器的值。-The experimental realization of an 8-bit cyclic shift register, the shift frequency is 2Hz, the shift in the direction (left or right) control. In order to show the resul
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:341884
    • 提供者:王晨
  1. LED_74HC164

    1下载:
  2. AVR单片机数码管动态显示 移位寄存器 -AVR microcontroller LED dynamic display shift register
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:16497
    • 提供者:zm
  1. 74SL164-IO

    0下载:
  2. 同过移位寄存器74SL164在液晶屏上显示画图-Had the same shift register 74SL164 drawing displayed on the LCD screen
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:31477
    • 提供者:许扬
  1. n_shift_reg

    0下载:
  2. N 位移位寄存器vhdl 代码,已经验证过,可以直接拿来用,包含有QUARTUS 文件和仿真文件
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2557
    • 提供者:longjiezhong
  1. 595

    0下载:
  2. 基于c51和串如并处移位寄存器74HC595实现流水灯控制-String based on c51 and 74HC595 shift register as a fine light control to achieve water
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:9170
    • 提供者:李怀
  1. Register

    0下载:
  2. 实现8位自主线性反馈移位寄存器。自主线性反馈移位寄存器具有二进制的抽头(tap)系数C1,...,CN,这些系数决定Y(N)是否被反馈给寄存器的特定级。-Achieve 8-bit linear feedback shift register independently. Autonomous linear feedback shift register with binary tap (tap) coefficients C1 ,..., CN, these factors determine
  3. 所属分类:GIS program

    • 发布日期:2017-04-06
    • 文件大小:961
    • 提供者:alen
  1. xianshiping

    0下载:
  2. 16×32点阵显示屏,采用74HC595快速移位寄存器,51单片机,STC89C52-16 × 32 dot matrix display, with fast 74HC595 shift register, 51 single, STC89C52
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:40780
    • 提供者:JASON
  1. Shift-register

    0下载:
  2. 两种移位寄存器——通用和桶形移位寄存器,用硬件描述语言Verilog编写,适合初学者。-Two kinds of shift register- common and barrel shift register in Verilog hardware descr iption language, suitable for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:1569
    • 提供者:李菲
  1. Barrel-shifter-design-report

    0下载:
  2. 实现变量移位操作的32-bit桶形移位寄存器;实现DES算法的数据路径设计及控制路径设计,有仿真和附录verilog代码 -Variable shift operations to achieve 32-bit barrel shifter implement the DES algorithm data path and control path design design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:34209
    • 提供者:BOBO
  1. zuoy

    0下载:
  2. 這是8位的左移移位寄存器,希望對大家有點作用,不足之處請見諒-This is the 8-bit left shift register, we want a bit role, please forgive the inadequacies
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:238114
    • 提供者:kuangdashuai
  1. prbs-FPGA

    0下载:
  2. 。本文 给出了基于线性反馈移位寄存器电路,并结合FPGA 的特有结构,设计了一种简捷而又高效的伪随机序列产生方法。-. In this paper, based on linear feedback shift register circuit, combined with the unique structure of the FPGA, the design of a simple and efficient method for pseudo-random sequence.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:63389
    • 提供者:史培霖
  1. par_in_par_out

    0下载:
  2. 并入并出双向移位寄存器,很好很强大。使用Verilog进行设计并用Modelsim成功仿真。-Into the shift register and a two-way, very very strong. With Verilog for design and simulation using Modelsim successfully.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1269714
    • 提供者:iswl2009
  1. ser_in_ser_out

    0下载:
  2. 串入串出双向移位寄存器,很好很强大。使用Verilog进行设计并用Modelsim成功仿真。-String into the string of bi-directional shift register, very very strong. With Verilog for design and simulation using Modelsim successfully.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1397078
    • 提供者:iswl2009
« 1 2 ... 10 11 12 13 14 1516 17 18 19 20 ... 29 »
搜珍网 www.dssz.com