CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 移位寄存器 VHDL

搜索资源列表

  1. shift

    0下载:
  2. 用VHDL实现一个移位寄存器,是初学者需要掌握的一个简单的程序写法-Using VHDL realization of a shift register is available for beginners need a simple program written
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:289016
    • 提供者:波波
  1. shift8

    0下载:
  2. 一个简单的移位寄存器。VHDL语言的,或许会对你有所帮助!-A simple shift register. VHDL language, and perhaps will help you!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2338
    • 提供者:snow
  1. recover

    0下载:
  2. VHDL设计的HDB3的译码器,采用了四位移位寄存器来判断之前码元1/0,造成输出有5位时延。-VHDL design of HDB3 decoder, using four yards before the shift register to determine the yuan 1/0, resulting in output has five delay.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:132126
    • 提供者:wxc
  1. tongREG

    0下载:
  2. 桶型移位寄存器,用于寄存器的移位,用vhdl编写 -Barrel shift register for shift register, with write vhdl
  3. 所属分类:software engineering

    • 发布日期:2017-04-10
    • 文件大小:606
    • 提供者:窦龙
  1. yiwei

    0下载:
  2. 4位移位寄存器VHDL语言实现,描述74194的功能-4-bit shift register VHDL language to describe the functions of 74,194
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-29
    • 文件大小:2282
    • 提供者:yuer
  1. mover

    0下载:
  2. 4位移位寄存器的VHDL代码包含代码及图形仿真-4-bit shift register of the VHDL code
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:480501
    • 提供者:马天羿
  1. SHIFTER

    0下载:
  2. SHIFTER描述移位寄存器的功能以及VHDL硬件语言的实现-SHIFTER describe the functions of the shift register and the realization of VHDL hardware language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:3012
    • 提供者:SHEIN
  1. shift8

    0下载:
  2. 用VHDL语言在QUARTUS环境下开发,功能是并串转换移位寄存器-Using VHDL language QUARTUS development environment, and the string conversion function is the shift register
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:239867
    • 提供者:李欣
  1. m

    1下载:
  2. 由20位移位寄存器线性反馈产生的m序列的vhdl代码-20-bit shift register linear feedback sequence generated vhdl code m
  3. 所属分类:VHDL编程

    • 发布日期:2013-04-08
    • 文件大小:2569
    • 提供者:李修函
  1. GOLD_VHDL

    0下载:
  2. 论文讨论的是基于VHDL 实现在系统编程平衡GOLD 码逻辑电路设计,给 出周期与相位可编程平衡GOLD 码生成电路设计方案。该方案由最长线性移位寄存器 与可选反馈支路构成。-Discussion paper is based on VHDL programming to achieve a balance in the system logic circuit design GOLD code given cycle and phase balance GOLD programmabl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:108827
    • 提供者:wendy
  1. verilog

    0下载:
  2. 文件包含了寄存器,移位寄存器,可能计数器,计数器等用VHDL实现的功能模块。-File contains the register, shift register, may counter, counter, implemented with the VHDL modules.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:4398
    • 提供者:朱向南
  1. sipo_reg5

    0下载:
  2. VHDL语言描述具有同步清零的5位串行输入并行输出移位寄存器代码-VHDL language to describe the clearing of 5 with synchronous serial input parallel output shift register code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:525
    • 提供者:zzz_ali
  1. 100vhdl

    0下载:
  2. VHDL100个例子,讲述VHDL基本应用,如加法器,移位寄存器等。-VHDL100 example, about VHDL basic applications, such as adders, shift registers.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:221772
    • 提供者:wuhongyuan
  1. e4

    0下载:
  2. 用VHDL实现左右移位寄存器,代码简单,易于实现-left-right shifter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:4021
    • 提供者:蚂蚁
  1. shift8

    0下载:
  2. 基于VHDL的八位移位寄存器,实现移位功能-VHDL-based eight shift register, the shift function to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:158931
    • 提供者:jiayanqing
  1. Shfit8bit

    0下载:
  2. 8位移位寄存器的vhdl设计,经过仿真验证,程序简单易懂,易于初学者借鉴-8-bit shift register vhdl design, through simulation, the program is easy to understand, easy for beginners learn from
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:570
    • 提供者:王龙飞
  1. shift8

    0下载:
  2. 完成8位移位寄存器的VHDL设计、仿真、下载验证,要求有带进位循环右移、带进位循环左移、自循环右移和自循环左移功能。-Completed the 8-bit shift register VHDL design, simulation, download authentication, and require Rotate right, Rotate left, since the rotate right and left from the circulation function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:290991
    • 提供者:沈桑霞
  1. an-8-bit-left-shift-register

    1下载:
  2. 使用VHDL语言设计一个8 位左移移位寄存器。并给出了仿真波形。-Using VHDL to design an 8-bit left shift register. And simulation waveforms.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-25
    • 文件大小:2048
    • 提供者:clementkv
  1. shft_reg

    0下载:
  2. 移位寄存器的VHDL语言实现,quartus 和 modelsim 仿真-Shift register VHDL language quartus and modelsim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:4372202
    • 提供者:金浩强
  1. shift_register

    0下载:
  2. VHDL 移位寄存器 左右平移都可以 里面已有详细说明-shifter register using VHDL
  3. 所属分类:Other systems

    • 发布日期:2017-11-28
    • 文件大小:673
    • 提供者:mango
« 1 2 3 45 »
搜珍网 www.dssz.com