CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 移位相加 乘法器

搜索资源列表

  1. MULT8

    0下载:
  2. 用移位相加的算法实现了8位乘法器,文档包含程序,并有详细分析过程-Shift algorithm with the sum of the 8-bit multiplier, the document contains procedures, and detailed analysis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:41521
    • 提供者:sunflower
  1. MULTIPLE_CORE

    0下载:
  2. 硬件乘法器,其基础就是加法器结构,它已经是现代计算机中必不可少的一部分。[1]乘法器的模型就是基于“移位和相加”的算法。在该算法中,乘法器中每一个比特位都会产生一个局部乘积。第一个局部乘积由乘法器的LSB产生,第二个乘积由乘法器的第二位产生,以此类推。如果相应的乘数比特位是1,那么局部乘积就是被乘数的值,如果相应的乘数比特位是0,那么局部乘积全为0。每次局部乘积都向左移动一位。 -64-bit multiplier design experiment is the first in the HK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:26881
    • 提供者:尤恺元
  1. Sixteen-hardware-multiplier

    0下载:
  2. vhdl 编学基于移位相加的16位硬件乘法器。-vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:2016389
    • 提供者:陈凡
  1. mul

    0下载:
  2. VHDL实现通用乘法器,位数可以自定义,通过移位相加实现-VHDL generic multiplier, the median can customize the sum achieved by shifting
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1153051
    • 提供者:小幂控
  1. mul8bit_shift_add

    0下载:
  2. 移位相加8位乘法器,含有每个模块的详细说明-Shift and add 8-bit multiplier, and contains a detailed descr iption of each module
  3. 所属分类:Project Design

    • 发布日期:2017-11-14
    • 文件大小:199529
    • 提供者:fay
  1. 8bit-multiplier

    0下载:
  2. 8位二进制数乘法器VHDL实现8位二进制数乘法器设计,乘法通过逐项移位相加原理来实现,从被乘数的最低位开始,若为1,则乘数左移后与上一次的和相加;若为0,左移后以全0相加,直至被乘数的最高位。 -8-bit binary multiplier VHDL 8-bit binary multiplier design, multiplication by itemized shift sum principle, starting from the least significant bit of
  3. 所属分类:Other systems

    • 发布日期:2017-11-21
    • 文件大小:2211
    • 提供者:李谦
  1. multiply_shift_add

    0下载:
  2. 基于移位相加运算的乘法器设计,完整的设计工程文件在multiply_shift_add文件夹下-Multiplier design based on shift and add operations, complete design engineering file multiply_shift_add file folder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:1496624
    • 提供者:xiebaiyuan
  1. multi8X8

    0下载:
  2. 基于vhdl的8为乘法器,移位相加原理,使用VHDL语言-Based on the multiplier vhdl 8, displacement add principle, the use of VHDL language
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-16
    • 文件大小:334881
    • 提供者:Daisy
  1. multiply_8_VHDL

    0下载:
  2. 由8 位加法器构成的以时序方式设计的8 位乘法器,采用逐项移位相加的方 法来实现相乘的VHDL程序代码。包含几个小模块和一个顶层设计文件,运行可用。-an 8 bit multiplier combined with 8 bit adder using a design by way of timing,and it use a way of Itemized shift to implement the multiply.It include some little module and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2587
    • 提供者:
  1. Multiply8-6

    0下载:
  2. FPGA verilog用移位相加的方式来实现8位的乘法器-FPGA verilog With shift and add a way to achieve 8 multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:237895
    • 提供者:李潇
  1. 8bit_multiplier

    0下载:
  2. 8bit 无符号串联乘法器,由状态机实现,用相加与移位实现乘法功能。-Unsigned 8bit serial multiplier, the state machine implementation, realized by adding the shift multiplication function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1386
    • 提供者:刘禹韬
  1. jiajianchengchu

    1下载:
  2. 4.移位相加式十进制硬件乘法器电路, 要求:输入两个1位十进制数,利用移位相加法计算它们的乘积,显示乘数、被乘数和积。-The shift and add type decimal hardware multiplier circuit, Requirements: Enter both a decimal number, and calculate their product using a shift-add method, display multiplier, multiplic
  3. 所属分类:Other systems

    • 发布日期:2015-11-13
    • 文件大小:3653632
    • 提供者:vincychf
  1. my_multiplier

    0下载:
  2. 一个VHDL编的简单乘法器,基本原理设计如下图所示: 将两个操作数分别以串行和并行模式输入到乘法器的输入端, 用串行输入操作数的每一位依次去乘并行输入的操作数, 每次的结果称之为部分积, 将每次相乘得到的部分积加到累加器里, 形成部分和, 部分和在与下一个部分积相加前要进行移位操作。-A simple multiplier VHDL series, the basic principles of design as follows: two operands, respectively, ser
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-12
    • 文件大小:704
    • 提供者:Justin
« 1 2»
搜珍网 www.dssz.com