CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 移位

搜索资源列表

  1. reg_add

    0下载:
  2. 在quartus中仿真通过的移位加程序的vhdl代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:523976
    • 提供者:张学友
  1. Qdiv

    0下载:
  2. 使用移位减法完成32位除法操作。适用于没有除法指令的嵌入式处理器。源码简单,适用
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:902
    • 提供者:10664417
  1. OTDRCurve

    2下载:
  2. 一个绘制OTDR曲线的图形组件,可以缩放、移位及输出图形到打印机
  3. 所属分类:2D图形编程

    • 发布日期:2008-10-13
    • 文件大小:3476202
    • 提供者:王永辉
  1. simulate

    0下载:
  2. 循环冗余校验CRC (Cyclic Redundancy Check)码是由分组线性码的分支而来,其主要应用是二元码字。编码简单且误判概率很低,在通信系统中得到了广泛的应用。循环冗余校验码是属于分组码中的一类重要的线性码,它不仅在理论上具有很好的代数结构,而且其编码和译码可以通过线性移位寄存器很容易地实现。 通过对CRC的分析和基于MATLAB工具的仿真,充分证明了CRC的检错能力很强,编码简单。
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:7837
    • 提供者:王明
  1. Serial_CRC

    0下载:
  2. CRC校验串行实现方法,verilog源码,利用反馈线性移位寄存器的方法,实现简单,适用于串行通信协议中的CRC校验.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:824
    • 提供者:徐亮
  1. change

    0下载:
  2. 不错的移位加密算法,适合感兴趣的人士参考
  3. 所属分类:加密解密

    • 发布日期:2008-10-13
    • 文件大小:871
    • 提供者:陈俊卿
  1. m_series

    0下载:
  2. 用移位寄存器产生M序列的MATLAB软件实现
  3. 所属分类:行业应用软件

    • 发布日期:2008-10-13
    • 文件大小:39946
    • 提供者:david
  1. 74hc595

    2下载:
  2. 移位寄存器74HC595的测试程序 通过测试 比较好用
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1063
    • 提供者:cobra
  1. ALU

    0下载:
  2. 用VERILOG实现ALU,实现各种算术运算,逻辑运算,移位运算等
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:1725616
    • 提供者:刘自强
  1. xunhuanyiwei

    0下载:
  2. ARM单片机,循环移位显示数据,采用6位LED显示
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:10257
    • 提供者:邓芳
  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. m_sequence

    0下载:
  2. m序列产生程序,通过移位寄存器产生
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:821
    • 提供者:lin
  1. ywmm

    1下载:
  2. 一个简单的移位密码算法程序,可以实现移位加密和解密的功能,
  3. 所属分类:加密解密

    • 发布日期:2008-10-13
    • 文件大小:13085
    • 提供者:王功臣
  1. shiftreg

    0下载:
  2. 经过精心设计的移位器的代码,并在FPGA硬件平台实现和验证过的
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8372
    • 提供者:hewg
  1. m_sequence_generator

    0下载:
  2. matlab-使用移位寄存器生成m序列(CDMA系统)
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:1093
    • 提供者:mongo
  1. vhdlthreelinespi

    0下载:
  2. SPI总线与CPLD之间的通信程序,可实现SPI串行输入,通过移位寄存器后并行输出
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:1075
    • 提供者:金臻炜
  1. C16bit

    0下载:
  2. 单字右移1616点阵右移C程序16bit #include<reg51.h> #include<intrins.h> sbit DAT=P1^0 /*\"74HC595第14脚 数据 \",0*/ sbit YW=P1^1 /*\"74HC595第11脚 移位存 \",0*/ sbit SUO=P1^2 /*\"74HC595第12脚 锁存 \",0*/
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2407
    • 提供者:z
  1. CPU

    0下载:
  2. 用VHDL编的简易CPU,可完成加减乘法移位等功能
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1703928
    • 提供者:刘超
  1. divide

    0下载:
  2. 除法器的设计本文所采用的除法原理是:对于八位无符号被除数A,先对A转换成高八位是0低八位是A的数C,在时钟脉冲的每个上升沿C 向左移动一位,最后一位补零,同时判断C的高八位是否大于除数B,如是则C的高八位减去B,同时进行移位操作,将C的第二位置1。否则,继续移位操作。经过八个周期后,所得到的C的高八位为余数,第八位为商。从图(1)可清楚地看出此除法器的工作原理。此除法器主要包括比较器、减法器、移位器、控制器等模块。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1354
    • 提供者:lyy
  1. alu

    0下载:
  2. 4位ALU逻辑运算单元,可进行加法、减法、逻辑运算、移位等操作。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:945
    • 提供者:甲天下
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »
搜珍网 www.dssz.com