CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 自动售货机

搜索资源列表

  1. atm.rar

    0下载:
  2. 课程设计:ATM Project源码,有四个独立程序,银行端,货物公司端,ATM取款机端,自动售货机端,应该可以算四个,还有一个VC写的配置ODBC的源吗,另外,请求一个帐号用于下载源吗
  3. 所属分类:JSP源码/Java

    • 发布日期:
    • 文件大小:1357372
    • 提供者:
  1. VendingMachine

    0下载:
  2. 自动售货机的模拟程序。在该程序的GUI中模拟选择商品并确认的过程-Program for simulate the automatic vending machine. You can simulate the procedure of choosing and confirming the merchandise from the GUI of the program.
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:2101
    • 提供者:shentong88365
  1. autosale

    1下载:
  2. VHDL编写的自动售货机,带找零、退币功能,数字电路课程设计!内附常态图,和dofile波形模拟文件-VHDL prepared by the vending machines, have sought to bring, the coin features, digital circuit design courses! Enclosing normal map and document dofile waveform simulation
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:3506
    • 提供者:张傻
  1. 饮料自动售货机模拟程序

    0下载:
  2. 该软件可为课程设计做参考,希望各位大侠支持~~!!!3X-the software to design courses for reference, I hope heroes support ~ ~! ! ! 3X
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:390116
    • 提供者:随风
  1. 自动售货机

    0下载:
  2. 用户可以选择三种金额投币,若足够金额购买饮料,则相关饮料选择变亮,售货机自动找零,管理员可以对所售饮料设置类型、名称和价格-users can choose the amount of three coin, if sufficient amount of the purchase drinks, the relevant variable-beverage choice, Automation Change vending machines, administrators can set be
  3. 所属分类:界面编程

    • 发布日期:2008-10-13
    • 文件大小:245271
    • 提供者:陈观军
  1. autosellmachine

    0下载:
  2. 用VHDL语言编写的自动售货机程序,下载到EDA实验板上可实现基本的买货售货找零显示总钱等功能。-VHDL prepared by the vending machine procedures, Experimental downloaded to EDA board can achieve basic placing orders showed total sales through irregular money functions.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:230132
    • 提供者:木林森
  1. VHDL3

    0下载:
  2. 这是一个自动售货机的vhdl源码,曾经是eda比赛的题目,供大家参考。-This is a vending machine in VHDL source code, the game had been sown topic, for your reference.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:534881
    • 提供者:烟翔
  1. shouhuoji

    1下载:
  2. 自动售货机源代码1. 自动售货机能销售三种商品:热狗1元、汉堡包2元、双层汉堡3元。设数量无限。 2. 自动售货机允许投入1元、2元、5元硬币。当总币值等于顾客需要的商品单价时,机器送出需要的商品,若大于时,机器除提供所需商品外,并将余币退出;若小于,则退出顾客投入的硬币,设计其内1元和2元的找零硬币无限。 -vending machine a source code. Vending machines sell three commodities : 1 yuan hot dogs,
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:50852
    • 提供者:ju
  1. Automat

    0下载:
  2. 设计一个自动售货机控制程序,它的投币口每次可以投入1元、2元、5元,且规定投入1元或2元后不得再投入5元。当投入总值等于或超过设定值(4元),售货机就自动送出货物并找回多余的钱。-design a vending machine control procedures, it can slot into each one yuan, the two yuan, 5 billion there are provisions into one yuan or two yuan may re-enter
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:215544
    • 提供者:刘涛
  1. mdb

    3下载:
  2. 一种用于 MDB 介面的主机通讯程序. MDB 是一种国际标准的自动售货机内部通讯协议-MDB interface for a host of communications procedures. MDB is an international standard internal-vending machine -- Agreement
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:16176
    • 提供者:zxluo
  1. automachine

    1下载:
  2. 自动售货机 l 设计要求: 1.机器有一个投币孔,每次只能投入一枚硬币,但可以连续投入多枚硬币。机器能识别的硬币金额为1元,5角和1角。顾客可选择的饮料价格有1元,1元5角,2元三种。每次只能售出1瓶饮料。 2.购买饮料时先选择饮料价格再投币,当投入的硬币总金额达到或超过饮料价格后,机器发出指示信号并拒收继续投入的硬币。顾客投币后,按动确定键,机器将发出饮料和找零硬币,若所投金额不足,则发出欠资信号指示。在欠资情况下,顾客可以继续投币购买,也可按取消键,机器将退出所投入的全部金额。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1365
    • 提供者:zy
  1. COLA

    0下载:
  2. 自动售货机 包含可调节输入输出 vhdl实现也可-vending machines include adjustable input and output VHDL can be achieved
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:2500
    • 提供者:梁伟
  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44186
    • 提供者:senkong
  1. autoshouhuoji

    0下载:
  2. 自动售货机仿真,很好很好,真的很好,非常的好,好的不得了。-Vending machine simulation, very good very good, really good, very good, incredibly good.
  3. 所属分类:Other systems

  1. Automachine_project

    0下载:
  2. verilog 语言写的自动售货机程序,系IC课程设计代码,QUARTUS -verilog language written in a vending machine program, the Department of IC curriculum design code, QUARTUS II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:418912
    • 提供者:Zeng jinqiang
  1. FPGA自动售货机完整代码

    0下载:
  2. FPGA自动售货机完整代码,代码完整,经过QuatersII模拟使用。
  3. 所属分类:嵌入式/单片机编程

  1. FPGA自动售货

    0下载:
  2. 设计一个自动售货机控制系统。该系统能完成对货物信息的存储、进程控制硬币处理、余额计算、显示等功能。可以管理4种货物,每种货物的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行货物选择,售货时能够根据用户输入的货币来判断钱币是否足足够,钱币够则根据顾客要求自动售货,钱币不够则给出提示并退出;能够自动计算出应找的钱币余额、库存数量并显示。(Design a vending machine control system. The system can complete the
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-24
    • 文件大小:10240
    • 提供者:daolangliu
  1. FP1自动售货机程式图

    0下载:
  2. PLC控制售货机程序,供各位爱好者参考,谢谢。(PLC control vending machine program)
  3. 所属分类:其他

  1. shouhuoji

    0下载:
  2. 基于51单片机的自动售货机设计,用C语言编程(Design of vending machine based on 51 single chip microcomputer)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:56320
    • 提供者:lin林
  1. 程序

    0下载:
  2. 功能介绍: 使用STC89C52(STC89C52数据手册)单片机设计,设有8个按键,3个按键模拟硬币(5元、1元、5角),另外5个按键模拟货物选择,一块12864显示屏。 步骤: 1.开机启动显示货物价格列表,自动切换列表。 2.可以选择①—⑤种货物(或更多)。 3.按对应按键可选择该货物。 4.选择后,提示要投进的金额,并显示投币有效时间30秒(可修改)。 5.按键模拟投币,有5元、1元、5角。 6.投币金额等于或大于所选货物的金额则购物成功。
  3. 所属分类:单片机开发

    • 发布日期:2018-04-20
    • 文件大小:56320
    • 提供者:哈哈1211
« 1 2 3 45 6 7 8 9 10 ... 20 »
搜珍网 www.dssz.com