CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 自动控制系统

搜索资源列表

  1. MicrocontrollerbasedcontrolotrafficlightsGraduatio

    0下载:
  2. 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本设计主要分为五大模块输入控制电路、时钟控制电路、片内外程序切换控制、显示电路。以MSC-51系列单片机IntelAT89C51为中心器件来设计交通灯控制器,实现了AT89C51芯片的P0口设置红、绿灯、黄灯燃亮时间的功能;为了系统稳定可靠采用了74LS14施密特触发器芯片的消抖电路,避免了系统因输入信号抖动产生误操作;显示时间直接通过AT89C51的P
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:146313
    • 提供者:买永乐
  1. WashingMachineArticles

    1下载:
  2. 本文以AT89S52单片机为核心,设计了全自动洗衣机控制系统。本系统实现了对洗衣机整个洗衣过程的控制,包括用户参数输入、洗衣、脱水和结束演奏四个阶段。控制系统主要由电源电路、数字控制电路和机械控制电路三大模块构成。电源电路为数字控制电路提供稳定的5V直流电压,为电动机提供220V市电;数字控制电路负责控制洗衣机的工作过程,主要由AT89S52单片机、两位共阴数码管、按键、蜂鸣器、LED指示灯组成;机械控制电路实现水位检测、电机驱动、进水、排水等功能,主要由水位检测器、电动机、传动系统部件、进水排
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:297168
    • 提供者:买永乐
  1. AutoControl

    0下载:
  2. 针对目前温室控制的缺点,以提高温室控制的自动化和实用性为目的,设计出了一种基于PIC微控器的多传感器温室自动控制系统。介绍了温室控制的基本工作原理,给出了硬件电路原理图。该系统能实现出远程和网络监控,具有广泛的应用前景。 -Aiming at the shortcomings of greenhouse control to improve the greenhouse control, automation and utility for the purpose of designing a
  3. 所属分类:Project Design

    • 发布日期:2017-03-31
    • 文件大小:64942
    • 提供者:coffee
  1. FrequencyDomainAnalysis

    0下载:
  2. 自动控制系统的频域分析,用频域分析的方法判断出系统是否为闭环系统。-Automatic control system for frequency domain analysis, frequency domain analysis method used to determine whether the closed-loop system out of the system.
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:2220
    • 提供者:
  1. fspf2010

    0下载:
  2. 政府环保部门污染设备自动控制系统vc源码文件-Government environmental department' s Pollution Control System equipment, vc source code file
  3. 所属分类:Applications

    • 发布日期:2017-05-15
    • 文件大小:3821317
    • 提供者:gzq
  1. Anti-theftMonitoringSystem

    0下载:
  2. 本防盗监控系统是以AT89C51单片机核心的自动控制系统,采用了热释电红外传感器检测(实际电路用按键开关模拟)和密码输入判断的双重防盗技术,用于家庭个体的安全防护。-The security monitoring system is based on AT89C51 microcontroller core, automatic control system using pyroelectric infrared sensor detects (the actual circuit simula
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-30
    • 文件大小:105300
    • 提供者:sunnan
  1. Matlabslidingcontrol

    0下载:
  2. 关于自动控制系统中滑模变结构控制的Matlab仿真程序-Automatic control system on the sliding mode control of the Matlab simulation program
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-02
    • 文件大小:8395
    • 提供者:wangyuanyuan
  1. chap7

    0下载:
  2. 自动控制系统中滑膜变结构控制matlab仿真程序-Automatic Control System of Variable Structure Control of synovial matlab simulation program
  3. 所属分类:matlab

    • 发布日期:2017-04-25
    • 文件大小:16956
    • 提供者:wangyuanyuan
  1. chap8

    0下载:
  2. 自动控制系统中滑膜变结构控制matlab仿真程序-Automatic Control System of Variable Structure Control of synovial matlab simulation program
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:6902
    • 提供者:wangyuanyuan
  1. chap9

    0下载:
  2. 自动控制系统中滑膜变结构控制matlab仿真程序-Automatic Control System of Variable Structure Control of synovial matlab simulation program
  3. 所属分类:matlab

    • 发布日期:2017-04-25
    • 文件大小:31214
    • 提供者:wangyuanyuan
  1. chap3

    0下载:
  2. 自动控制系统中滑膜变结构控制matlab仿真程序-Automatic Control System of Variable Structure Control of synovial matlab simulation program
  3. 所属分类:matlab

    • 发布日期:2017-04-15
    • 文件大小:8469
    • 提供者:wangyuanyuan
  1. chap4

    0下载:
  2. 自动控制系统中滑膜变结构控制matlab仿真程序-Automatic Control System of Variable Structure Control of synovial matlab simulation program
  3. 所属分类:matlab

    • 发布日期:2017-03-28
    • 文件大小:37031
    • 提供者:wangyuanyuan
  1. chap5

    0下载:
  2. 自动控制系统中滑膜变结构控制matlab仿真程序-Automatic Control System of Variable Structure Control of synovial matlab simulation program
  3. 所属分类:matlab

    • 发布日期:2017-04-24
    • 文件大小:18235
    • 提供者:wangyuanyuan
  1. bxf

    0下载:
  2. 波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。本次课程设计使用的AT89S51 单片机构成的发生器可产生锯齿波、三角波、正弦波等多种波形,波形的周期可以用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑等优点。在本设计的基础上,加上按钮控制和LED显示器,则可通过按钮设定所需要的波形频率,并在LED上显示频率、幅值电压,波形可用示波器显示。 -Waveform generator is a common source, widel
  3. 所属分类:Document

    • 发布日期:2017-04-03
    • 文件大小:4528
    • 提供者:
  1. tsslpid

    1下载:
  2. 利用优化自动控制系统提升钢坯的加热质量、节约加热能耗、确保其燃烧系统的最佳状态、延长设备寿命以及减少环境污染是本文研究的主要问题,结果具有较重要的实际参考价值。-Automatic control system upgrade using the optimal slab heating quality, save heating energy consumption, to ensure optimum combustion system, extend equipment life and
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-02
    • 文件大小:2309
    • 提供者:sl
  1. control-system-for-automatic-washing-machine

    1下载:
  2. 基于51单片机的全自动洗衣机控制系统(完整程序和Proteus仿真)【验证过】-51 MCU based control system for automatic washing machine (full program and Proteus simulation) verified】 【
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-12-06
    • 文件大小:44956
    • 提供者:liu
  1. main

    0下载:
  2. 冰箱自动控制系统!希望对你有帮助.自动转换的-Refrigerator control system! Want to help you. Automatic conversion
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:2564
    • 提供者:liushengquan
  1. DMC-sheji

    1下载:
  2. 摘要 随着火电机组向大容量、高参数和高效率方向发展,对机组热工自动控制系统控制品质的要求也随之提高。在热工过程中,大多数热工对象具有较大的惯性和迟延,且是非线性和慢时变的,部分对象还是多变量的,因而具有很大的不确定性和强耦合性。这就难以建立精确的数学模型,使采用固定参数的PID控制器不能与生产上越来越高的控制要求相适应。 本文主要是研究动态矩阵控制算法在主汽温控制方面的应用。首先,分析了当前火电厂主汽温的控制方式和常规的控制方法,以及影响主汽温变化的各种扰动因素;然后,介绍了动态矩阵控制
  3. 所属分类:Project Design

    • 发布日期:2016-04-03
    • 文件大小:478208
    • 提供者:张谦
  1. TemperatureControlSystem

    1下载:
  2. 本系统严格按照题中所定参数及要求,构建了一个以MSP430单片机为控制核心的温度自动控制系统。该系统用PSB型负温热敏电阻作为温度传感器,以一种类R-F的方法测量木盒内实时温度,单片机用实时温度与预设温度值一起代入PID算式得出一个温度增量,再用此温度增量线性的控制PWM波的占空比,开关电源的输出电压也会随之变化,即制冷晶片的输入功率发生变化,因此制冷晶片制冷(加热)的功率随PWM波占空比变化,达到自动控温的目的。本系统制冷(加热)效果明显,效率高,界面友好,制作精致,能够胜任题中所定各项要求。
  3. 所属分类:Document

    • 发布日期:2015-04-23
    • 文件大小:316416
    • 提供者:康倩
  1. VHDL-dianti

    0下载:
  2. 高楼电梯自动控制系统(Windows平台上运行的ispLEVER编程软件。 ): 1统控制的电梯往返于1-9层楼。 2客要去的楼层数可手动输入并显示(设为A数)。 3梯运行的楼层数可自动显示(设为B数)。 4A>B时,系统能输出使三相电机正转的时序信号,使电梯上升; 当A<B时,系统能输出使三相电机反转的时序信号,使电梯下降; 当A=B时,系统能输出使三相电机停机的信号,使电梯停止运行并开门; 5是上升还是下降各层电梯门外应有指示,各层电梯门外应有使电
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:34734
    • 提供者:
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »
搜珍网 www.dssz.com