CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 译码器 VHDL

搜索资源列表

  1. 38yima

    0下载:
  2. 本文为用vhdl语言编写的38译码器,为doc格式,请先复制到相应软件例如maxplus中再使用。-This article was prepared by using VHDL language decoder 38 for doc format, please copy to the appropriate software such as maxplus in the re-use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2624
    • 提供者:网天才
  1. dec3_8

    0下载:
  2. 有VHDL写的一个38译码器,并付仿真波形.-VHDL has written a decoder 38, and pay the simulation waveform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:57754
    • 提供者:陈阿水
  1. Decoder_FPGA

    0下载:
  2. 这是Actel 的FPGA的译码器的VHDL源代码。-This is the Actel' s FPGA-Decoder VHDL source code.
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:242298
    • 提供者:王芳
  1. bym

    0下载:
  2. 在Max+plusΠ环境下用VHDL语言编写实现基于CPLD的CMI编译码器设计-In Max+ plusΠ environment using VHDL language CPLD-based design of CMI codecs
  3. 所属分类:Communication

    • 发布日期:2017-04-02
    • 文件大小:922
    • 提供者:莫迎宾
  1. 7decoderdesigndigitaldisplay

    0下载:
  2. 1.学习7段数码显示译码器设计。 2.进一步熟悉VHDL设计技术,掌握CASE语句的使用。 3.掌握文本输入法的顶层设计方法。 -1. Learning 7 decoder design digital display. 2. More familiar with the VHDL design techniques, master the use of CASE statements. 3. Have the text input method of the top-level d
  3. 所属分类:File Formats

    • 发布日期:2017-04-05
    • 文件大小:4732
    • 提供者:李明
  1. lab2-2

    0下载:
  2. 4位二进制加法器,vhdl实现,外带译码器部分,清晰简洁,可读性好-4-bit binary adder, vhdl achieved decoder part of the bargain, clear and concise, readable good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:416663
    • 提供者:zart
  1. MY

    0下载:
  2. 计数器和译码器的程序,基于EDA的VHDL语言-Counter and decoder procedures, based on the VHDL language EDA
  3. 所属分类:Other systems

    • 发布日期:2017-04-26
    • 文件大小:119088
    • 提供者:ni yeye
  1. control

    0下载:
  2. 四位微程序控制器的指令译码器,运用VHDL语言实现。-Four micro-program controller instruction decoder using VHDL language.
  3. 所属分类:MPI

    • 发布日期:2017-03-23
    • 文件大小:7950
    • 提供者:吴伟
  1. hdb3

    0下载:
  2. 基于vhdl的hdb3编译码器的设计与实现-hdb3
  3. 所属分类:Compiler program

    • 发布日期:2017-03-28
    • 文件大小:236378
    • 提供者:fdfilkj
  1. counter

    0下载:
  2. 利用EDA工具MAX-PlusII的VDHL输入法,输入VHDL程序,实现2位计数器,在七段译码器上以十进制显示:0、1、2、3、0、...。时钟信号使用83管脚。采用自动机状态转换方式设计该计数器;建立相应仿真波形文件,并进行波形仿真;分析设计电路的正确性。-The use of EDA tools VDHL of the MAX-PlusII input method, enter the VHDL program, the realization of two counters, in t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:92446
    • 提供者:米石
  1. shujujiegou

    0下载:
  2. 数自逻辑实验报告有关于83译码器的编写,用VHDL编写程序-Since the logic of the report of the number of experiments on the preparation of 83 decoder using VHDL programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:102201
    • 提供者:liguifang
  1. VHDLCODE

    0下载:
  2. VHDL的一些典型源代码,有七段数码管译码器,格雷码转换为二进制码,八位数字比较器等等。-Typical VHDL source code, there are Seven-Segment LED Decoder, Gray code is converted to binary code, the eight figures and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:160598
    • 提供者:李军
  1. 00038yimaqi

    0下载:
  2. 本设计利用拨动开关和发光二极管进行信号输入和显示。 本设计练习用VHDL语言描述仿真译码器。 -This design toggle switch and light-emitting diodes used for signal input and display. The design exercise simulation using VHDL language to describe the decoder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5604
    • 提供者:fengxinlong
  1. taxi

    0下载:
  2. 介绍了出租车计费器系统的组成及工作原理,简述了在EDA平台上用单片CPLD器件构成该数字系统的设计思想和实现过程。论述了车型调整模块、计程模块、计费模块、译码动态扫描模块等的设计方法与技巧。-Introduced a taxi meter system, the composition and working principle outlined in the EDA platform, with the single-chip CPLD devices constitute the digit
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:153428
    • 提供者:蒋思
  1. 3

    0下载:
  2. 利用vhdl语言编写的译码器程序,采用两种不同方式-The use of language decoder vhdl program, using two different ways
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:67090
    • 提供者:lien chen
  1. recover

    0下载:
  2. VHDL设计的HDB3的译码器,采用了四位移位寄存器来判断之前码元1/0,造成输出有5位时延。-VHDL design of HDB3 decoder, using four yards before the shift register to determine the yuan 1/0, resulting in output has five delay.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:132126
    • 提供者:wxc
  1. vhdltest

    0下载:
  2. 自己设计的几个VHDL程序,包括译码器电路,多路开关,比较器应用,和16乘8RAM电路,各模块及最终的顶层原理图和引脚我都已给好,希望对大家的学习有所帮助-A few of their own design VHDL procedures, including the decoder circuit, multiple switches, comparator applications, and 16 by 8RAM circuit, each module and final top-leve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:910201
    • 提供者:李晓
  1. paobiao

    0下载:
  2. 数字跑表,VHDL语言描述,已经过实验,包含有分频计、计数器,显示译码器-It has been tested,and it is described by VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:861907
    • 提供者:高建双
  1. S1_38yima

    0下载:
  2. 3-8译码器的VHDL语言实现的源程序代码-3-8Decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:124065
    • 提供者:王景波
  1. HDB3

    0下载:
  2. HDB3编码器与译码 HDB3编码器与译码-HDB3 encoder and decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:266187
    • 提供者:一天
« 1 2 3 4 5 6 7 89 10 11 12 13 14 »
搜珍网 www.dssz.com