CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 频率计 仿真

搜索资源列表

  1. 课题:计数式数字频率的CPLD实现.rar

    1下载:
  2. 本设计的基本要求是以复杂可编程逻辑器件CPLD为基础,通过在EDA系统软件ispDesignExpert System 环境下进行数字系统设计,熟练掌握该环境下的功能仿真,时间仿真,管脚锁定和芯片下载。 本系统基本上比较全面的模拟了计数式数字频率计,广泛应用于工业、民用等各个领域,具有一定的开发价值。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:
    • 文件大小:439843
    • 提供者:
  1. CPLDOGRAM

    1下载:
  2. 摘要: 文中介绍了数字频率计的结构、工作原理及计数方式,给出了基于VHDL语言的频率计系统的行为源描述,讨论了在VHDL的高级综合系统QuartusII的支持下,自顶向下地进行传输模块的设计工程,并给出了系统的仿真波形以及其应用实践。-Abstract : This paper introduces a digital frequency of the structure and working principle and counting, is based on VHDL Frequency
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5918
    • 提供者:李越
  1. fpga-example2

    1下载:
  2. ASK调制与解调VHDL程序及仿真 FSK调制与解调VHDL程序及仿真 PSK调制与解调VHDL程序及仿真 基带码发生器程序设计与仿真 频率计程序设计与仿真-ASK modulation and demodulation VHDL simulation procedures and FSK modulation and demodulation process and VHDL simulation PSK modulation and demodulation process
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:618922
    • 提供者:张伟
  1. dengjingdupinlv

    0下载:
  2. 等精度测频原理的频率计程序与仿真。。希望大家能用的到撒-such precision frequency measurement principles of Cymometer procedures and simulation. . Hope everyone can withdraw to the
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:123601
    • 提供者:代松洮
  1. xnyq094

    1下载:
  2. 本软件实现了示波器,信号发生器,频率计,万用表的功能,在音频范围内可完全替代上述仪器。这并不是仿真软件,而是实用的工具,这些虚拟仪器可以很好的工作。最简单的应用只需要两根电缆,一根输入,一根输出。-of the software oscilloscope, signal generator, frequency meter, multimeter functions, within the audio can entirely replace this equipment. This is no
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:66117
    • 提供者:fgyutut
  1. sound-tool

    1下载:
  2. 声卡是个人电脑中不可缺少的一部分,同时也是一个很好的A/D,D/A卡,本软件充分利用了这一功能。本软件实现了示波器,信号发生器,频率计,万用表的功能,在音频范围内可完全替代上述仪器。这并不是仿真软件,而是实用的工具,这些虚拟仪器可以很好的工作。最简单的应用只需要两根电缆,一根输入,一根输出。-PC sound card is an indispensable part, it is also a good A / D, D / A card. The software makes full us
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:70212
    • 提供者:qerde
  1. FPGA_27eg

    1下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1279333
    • 提供者:
  1. 1231212

    0下载:
  2. 是一个基于80C51的频率计,包括源码和电路图,和仿真电路文件。用ISIS打开-is based on an 80C51 the frequency, including the source code and circuit diagrams, documents and circuit simulation. Opened with ISIS
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:120730
    • 提供者:沈佳华
  1. counter

    0下载:
  2. 6位数显频率计数器的proteus仿真电路及C语言程序设计,单片机仿真实例-6-digit frequency counter of proteus was circuit simulation and C language programming, microcontroller simulation
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-22
    • 文件大小:46654
    • 提供者:常余
  1. frequence_VHDL

    0下载:
  2. 采用等精度测频原理的频率计的程序与仿真 --文件名:PLJ.vhd。 --功能:4位显示的等精度频率计。 -Such as the accuracy of frequency measurement using the principle of the frequency of the procedure and simulation- the file name: PLJ.vhd.- Function: 4 shows, such as precision frequency me
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:123600
    • 提供者:huangsong
  1. digital_frequence_counter

    0下载:
  2. 设计功能: 1..用VHDL完成12位十进制数字频率计的设计及仿真。 2.频率测量范围:1Hz∼ 10KHz,分成两个频段,即1∼ 999Hz,1KHz∼ 10KHz,用三位数码管显示测量频率,用LED显示表示单位,如亮绿灯表示Hz,亮红灯表示KHz。 3.具有自动校验和测量两种功能,即能用标准时钟校验、测量精度。 4.具有超量程报警功能,在超出目前量程档的测量范围时,发出灯光和音响信号。 -Design features: 1. . Compl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:22189
    • 提供者:八毛
  1. pinlvji

    0下载:
  2. 本论文设计一个基于单片机技术的数字频率计。首先,我们把待测信号经过放大整形;然后把信号送入单片机的定时计数器里进行计数,获得频率值;最后把测得的频率数值送入显示电路里进行显示。本文从频率计的原理出发,介绍了基于单片机的数字频率计的设计方案,选择了实现系统得各种电路元器件,并对硬件电路进行了仿真。-This thesis developed a single chip technology based on digital frequency meter. First, we tested the
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:557236
    • 提供者:李鹏飞
  1. pinyujideshixian

    0下载:
  2. 基于单片机的频率计的实现和protuse仿真-Frequency meter based on single chip implementation and protuse simulation
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:66290
    • 提供者:No Name
  1. Six-digital-frequency-counter

    0下载:
  2. 51单片机编写的6位数显频率计数器,内带仿真-Six digital frequency counter
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:252678
    • 提供者:李鹏
  1. verilogClassicSamples

    0下载:
  2. verilog常用程序及其仿真结果整理,包括LCD,LED,AD采集,URAT,电子琴,电梯控制,自动售货机控制,出租车计价器,电子时钟,频率计,MPSK调制与解调-verilog common finishing process and its simulation results, including LCD, LED, AD collection, URAT, keyboard, elevator control, vending machine control, taxi meter,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1278470
    • 提供者:ZhangYan
  1. Frequency-counter

    0下载:
  2. 基于FPGA的频率计设计。通过FPGA运用、 HDL编程,利用FPGA(现场可编程门阵列)芯片设计了一个8位数字式等精度频率计,该频率计的测量范围为0-100MHZ,利用QUARTUS II集成开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,经实际电路测试,仿真和实验结果表明,该频率计有较高的实用性和可靠性。-Frequency counter FPGA-based design. By using FPGA, VHDL programming, the use of FPGA (fi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:596239
    • 提供者:吴亮
  1. 设计一个数字频率计

    1下载:
  2. 以单片机为核心,设计一个数字频率计,进行频率的自动测量,并用12864液晶屏的第一行显示“数字频率计”,第二行显示设计都姓名和学号,第三行显示测量的频率值(单位:HZ)。 基本部分:数码管显示被测信号的频率,测频范围为1HZ~500KHZ。 发挥部分:扩大测频范围为1HZ~1MHZ。 (2)要求:完成该系统的硬件和软件的设计,在Proteus软件上仿真通过后,提交一篇课程设计说明书。
  3. 所属分类:系统编程

  1. pinlvji

    0下载:
  2. 利用keil及proteus搭建的频率计仿真系统,可进行频率的检测。-The simulation system is built by using keil and Proteus frequency meter, can be applied to determine the frequency of.
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:58441
    • 提供者:zhang
  1. 2_FreqCounter

    0下载:
  2. 基于proteus和C51的频率计仿真,包含源代码。-Based on proteus and C51 frequency meter simulation, including the source code.
  3. 所属分类:SCM

    • 发布日期:2017-05-05
    • 文件大小:132036
    • 提供者:Gavin Zhang
  1. frequency

    0下载:
  2. 用于FPGA开发,使用VERILOG语言编写,并在QUARTUS II仿真平台仿真,实现频率计的功能。(It is used in FPGA development, written in VERILOG language, and simulated on the QUARTUS II simulation platform to realize the function of the frequency meter.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-11
    • 文件大小:371712
    • 提供者:丶静俟
« 1 23 4 5 6 7 8 »
搜珍网 www.dssz.com