CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 2.4.18

搜索资源列表

  1. fly.box-1.6

    0下载:
  2. ASP.NET企业网络硬盘(Fly.Box企业网盘),采用C#+SQL SERVER开发,可方便集成到其它系统, 详询:QQ 2241738895,邮箱 do.yes@qq.com 1. 支持文件浏览 2. 支持在线播放音乐 3. 支持大附件上传 4. 支持断点续传 5. 支持文件批量添加 6. 有上传进度 7. 支持多用户 8. 支持分享 9. 支持多硬盘存储 10. 支持用户空间分配
  3. 所属分类:WEB(ASP,PHP,...)

    • 发布日期:2017-11-10
    • 文件大小:11595664
    • 提供者:salvation
  1. Csharp

    0下载:
  2. C#基础概念二十五问 1.静态成员和非静态成员的区别? 2.const 和 static readonly 区别? 3.extern 是什么意思? 4.abstract 是什么意思? 5.internal 修饰符起什么作用? 6.sealed 修饰符是干什么的? 7.override 和 overload 的区别? 8.什么是索引指示器? 9.new 修饰符是起什么作用? 10.this 关键字的含义? 11.可以使用抽象函数重写基类中的虚函数吗?
  3. 所属分类:CSharp

    • 发布日期:
    • 文件大小:1056040
    • 提供者:stallion
  1. NumberPrint

    0下载:
  2.   问题的描述 //启动3个线程打印递增的数字, 线程1先打印1,2,3,4,5, //然后是线程2打印6,7,8,9,10 //然后是线程3打印11,12,13,14,15. //接着再由线程1打印16,17,18,19,20.... //以此类推, 直到打印到75. 程序的输出结果应该为:-Problem Descr iption// Start three threads print incrementing number, thread a first pri
  3. 所属分类:Java Develop

    • 发布日期:2017-03-23
    • 文件大小:1370
    • 提供者:Domix
  1. RichText

    0下载:
  2. TextView通常用来显示普通文本,但是有时候需要对其中某些文本进行样式、事件方面的设置。android系统通过SpannableString类来对指定文本进行相关处理,具体有以下功能: 1、BackgroundColorSpan 背景色 2、ClickableSpan 文本可点击,有点击事件 3、ForegroundColorSpan文本颜色(前景色) 4、MaskFilterSpan 修饰效果,如模糊(BlurMaskFilter)、浮雕(EmbossMask
  3. 所属分类:Java Develop

    • 发布日期:2017-03-28
    • 文件大小:696728
    • 提供者:
  1. 12864

    0下载:
  2. //MCU:AT89S52 ,晶体频率:12MHz //LCM:128*64 //LCM型号:QC12864B //LCM 接口:1:GND 2:VCC 3:V0 4.CS 5:SID 6:SCLK 15:PSB 16:NC 17:RST 18:Vout 19:背光+ 20:背光- 功能:在指定位置显示字符-//MCU: AT89S52, crystal frequency: 12MHz// LCM: 128* 64// LCM Model: QC12864B// LCM Int
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:1139
    • 提供者:王文
  1. chaoshbo

    0下载:
  2. 超声波模块 us-100,tx:信号输入,,, rx:信号输出 MCU:AT89S52 ,晶体频率:12MHz LCM:128*64 LCM型号:QC12864B LCM 接口:1:GND 2:VCC 3:V0 4.CS 5:SID 6:SCLK 15:PSB 16:NC 17:RST 18:Vout 19:背光+ 20:背光 功能:超声波测距液晶显示-Ultrasonic module us-100, tx: signal input,,, rx: signal outp
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1847
    • 提供者:王文
  1. huizhidian

    0下载:
  2. MCU:AT89S52 ,晶体频率:12MHz LCM:128*64 LCM型号:QC12864B LCM 接口:1:GND 2:VCC 3:V0 4.CS 5:SID 6:SCLK 15:PSB 16:NC 17:RST 18:Vout 19:背光+ 20:背光- 所用单元 30H 31H 32H 33H 34H 35H 36H 37H 功能:在12864液晶屏上绘制一个点- MCU: AT89S52, crystal frequency: 12MHz LC
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:1751
    • 提供者:王文
  1. Matlab_analyse_traffic_jam_status

    1下载:
  2. 根据深圳市出租车GPS数据所提供的信息,针对交通出行数据的空间分布特征,选取了4月18号这天的全天数据出租车载客后的行驶数据, 利用mtalab对数据的处理,筛选出出租车的起始点及拥堵的时段的行驶数据并通过kmeans聚类分析设定拥堵的标准,得到对于出租车在路段上的车速在小于2.15公里/小时的时候可以认为非常拥堵,拥堵的时段 5:00-7:00,12:00-14:00,19:00-21:00,非常拥堵的时间段为5:00-7:00,19:00-21:00。-analyse traffic jam
  3. 所属分类:software engineering

    • 发布日期:2017-04-09
    • 文件大小:1303774
    • 提供者:康登立
  1. OracleVSDB2

    0下载:
  2. 一个Oracle的数据类型可以映射到许多DB2的数据类型中。它会根据数据而选择合适的数据类型来进行匹配。在这里会用一个整型或者实数来存储浮点数吗?并且,这里要注意精度。另一个需要注意的事项是空间的使用方法。对于每个DB2的空间使用方法,可以根据类型的声明而改变:Smallint使用2个字节,Integer使用4个字节,Bigint使用8个字节。对于Oracle数据类型的空间使用方法要依靠声明中的参数。对于默认精度38的数字可以使用20字节的存储空间。映射Number到Smallint。比如,可以
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:6147
    • 提供者:ddd
  1. Priority_queues2

    0下载:
  2. Descr iption A number whose only prime factors are 2,3,5 or 7 is called a humble number. The sequence 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 14, 15, 16, 18, 20, 21, 24, 25, 27, ... shows the first 20 humble numbers. Write a program to find and pri
  3. 所属分类:CSharp

    • 发布日期:2017-03-28
    • 文件大小:1066
    • 提供者:chenziping
  1. FT800_SampleApp_1.0

    0下载:
  2. 英国FTDI公司二月发布了高级先进的图形控制器设备FT800, 在单个芯片上集成了显示器,音频和触摸。FT800让您的人机界面(HMI)突显:最低成本,最炫效果,最易开发。 以下是超炫Demo(AVR-mega328 (8MHZ Clock) + FT800+WQVG(480*272) TFT) http://www.kickstarter.com/projects/2084212109/gameduino-2-this-time-its-personal -FTDI Chip is
  3. 所属分类:software engineering

    • 发布日期:2017-05-11
    • 文件大小:2680522
    • 提供者:陈诗建
  1. bestfitted-line

    0下载:
  2. 根据所给的点的坐标算出最拟合曲线的公式,并根据原来的x值算出新的y值。并将数据储存到一个data文件-A C++ programme finds the best-fitted straight line to the x and y values, and then writes the original x, y values and the new y values on the line (for each x) to a data file. X: 1.0000 2.0000
  3. 所属分类:Console

    • 发布日期:2017-03-31
    • 文件大小:1253
    • 提供者:jason
  1. NWA-Folder

    0下载:
  2. This folder contains Matlab functions for the Enhanced Interval Approach (EIA) in: Simon Coupland, J. M endel and Dongrui Wu, “Enhanced Interval Approach for Encoding Words into Interval Type-2 Fuzzy Sets and Convergence of the Word FOUs,” IEE
  3. 所属分类:matlab例程

    • 发布日期:2014-04-05
    • 文件大小:89693
    • 提供者:sabz
  1. EIA

    0下载:
  2. This folder contains Matlab functions for the Enhanced Interval Approach (EIA) in: Simon Coupland, J. M endel and Dongrui Wu, “Enhanced Interval Approach for Encoding Words into Interval Type-2 Fuzzy Sets and Convergence of the Word FOUs,” IEEE
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:62734
    • 提供者:sabz
  1. ProgramA

    0下载:
  2. skiing 时间限制:3000 ms | 内存限制:65535 KB 难度:5 描述 Michael喜欢滑雪百这并不奇怪, 因为滑雪的确很刺激。可是为了获得速度,滑的区域必须向下倾斜,而且当你滑到坡底,你不得不再次走上坡或者等待升降机来载你。Michael想知道载一个区域中最长底滑坡。区域由一个二维数组给出。数组的每个数字代表点的高度。下面是一个例子 1 2 3 4 5 16 17 18 19 6 15 24 25 20 7 14 23
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:749
    • 提供者:Qinzhen
  1. int-linked-list

    0下载:
  2. 基于JAVA编写一个整数的链表。程序包括对dat文档读取,链表的添加,删除,和完成指定要求。-Write a program, using an IntLinkedList to read in the following file and create a list according to the rules specified. The first number is n = the number of elements to generate. In the example, this
  3. 所属分类:Data structs

    • 发布日期:2017-04-07
    • 文件大小:13178
    • 提供者:han
  1. BaseAnimationAndroid

    1下载:
  2. BaseAnimation Android动画合集开源APP项目源码,效果说明:   1.增加Splash动画 (中心打开式效果 )   2.增加Flip折叠效果的集合(13种)   3.增加NineOld集合(18+)   4.高仿系列(6中经典动画)   火车票出票动画   淘宝菜单   优酷导航菜单   图片3D浏览   下拉选择框   扫雷效果   BaseAnimation Android动画合集开源APP项目源码更新:
  3. 所属分类:android

    • 发布日期:2017-06-12
    • 文件大小:19845000
    • 提供者:apudn44
  1. EDA

    0下载:
  2. 1.八进制计数器 2.八位右移寄存器 3.八位右移寄存器(并行输入串行输出) 4.半加 5.半加器 6.半减器 7.两数比较器 8.三数比较器 9.D触发器 10.T触发器 11.JK1触发器 12.JK触发器 13.三位全加器 14.SR触发器 15.T1触发器 16.三太门 17.有D触发器构成的6位2进制计数器 18.带同步置数的7进制减法计数器(6位右移寄存器) 19.二十四进制双向计数器 20.二选一 21
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:4143
    • 提供者:wanghao
  1. 6_1

    0下载:
  2. 按钮“第一题”:计算1-1/2+1/3-1/4+……+1/99-1/100 按钮“第二题”:计算1!+2!+……+10! 按钮“第三题”:从键盘上输入任意10个数,统计出其中负数的个数。 按钮“第四题”:假设我国1999年有人口12.4亿,年增长率为1 ,编写程序,计算哪一年人口增加到18亿。 -Button " first question" : Calculate 1-1/2+1/3-1/4+ ......+1/99-1/100 button " se
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-13
    • 文件大小:1658
    • 提供者:AUTUMNDREAM
  1. humorous-design-mode

    0下载:
  2. 这是一本关于设计模式的电子书(幽默设计模式),值得学习。 1、策略模式 2、代理模式 3、单例模式 4、多例模式 5、工厂方法模式 6、抽象工厂模式 7、门面模式 8、适配器模式 9、模板方法模式 10、建造者模式 11、桥梁模式 12、命令模式 13、装饰模式 14、迭代模式 15、组合模式 16、观察者模式 17、责任链模式 18、访问者模式 19、状态模式 20、原型模式 21、中介者模式 22、解释器
  3. 所属分类:Project Design

    • 发布日期:2017-05-08
    • 文件大小:1684178
    • 提供者:陈盛乾
« 1 2 ... 14 15 16 17 18 1920 21 22 23 »
搜珍网 www.dssz.com