CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 2.4.18

搜索资源列表

  1. MAPDocument

    1下载:
  2. 1. 总则 4 1.1. 概述 4 1.2. 卖方的建议书要求 5 1.3. 报价要求 6 2. 总体要求 7 2.1. 项目目标 7 2.2. 功能要求 7 2.3. 性能要求 7 2.4. 组网要求 7 2.5. 管理要求 8 2.6. 安全要求 8 3. 技术规范 9 3.1. 电子地图应用实现 9 3.1.1. 电子地图查询界面和方法 9 3.1.2. 电子地图回叫 10 3.1.3. 短信应用发布 11 3.1.4. 查询
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:177052
    • 提供者:zxb
  1. raid

    0下载:
  2. 第一章 RAID知识介绍2 1.1 RAID0:条带化2 1.2 RAID13 1.3 RAID0+13 1.4 RAID54 第二章 RAID的实现5 2.1软件RAID5 2.2硬件RAID5 第三章 RAID卡原理6 第四章 Mylex Accele352 RAID 卡设置与使用9 4.1 Mylex Accele352 RAID卡简介9 4.2 RAID卡配置方法9 4.3 RAID阵列的管理10 第五章 Ad
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:19215
    • 提供者:陈淑明
  1. 2006124936624

    0下载:
  2. 一、综述 3 1.1. 建设背景 3 1.2. 系统建设的必要性 6 1.3. 系统建设目标 9 二、需求及技术可行性分析 11 2.1. 用户需求分析 11 2.2. 技术可行性分析 13 2.2.1. Internet信息服务 13 2.2.2. 地理信息系统(GIS) 15 2.2.3. 基于WEB的地理信息系统 18 2.2.4. 推荐的技术、应用和营运策略 19 三、系统总体设计 21 3.1. 系统目标和设计原则 21 3.1.1
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:2448093
    • 提供者:李顺
  1. Ch1999

    0下载:
  2. 小波变换在故障诊断中的应用 441 18.1 引言 441 18.2 基本原理 442 18.3 小波变换在轴承故障诊断中的应用 444 18.3.1 轴承外环故障诊断 444 18.3.2 轴承滚动体故障诊断 448 18.4 小波变换在齿轮故障诊断中的应用 450 18.5 小波包在轴承故障诊断中的应用 452 18.5.1 轴承外环故障诊断 453 18.5.2 轴承滚动体故障诊断 454-wavelet transform fault diagnosi
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1316
    • 提供者:崔鹏
  1. xsxxxt

    0下载:
  2. 摘 要 I Summary II 1.系统概述 1 1.1 系统及需求分析 1 1.1.1 系统需求 1 1.1.2可行性分析 1 1.2系统设计的背景 5 1.3系统的功能简介 8 1.4系统开发的目标 10 2. 系统分析 11 2.1业务流程分析 11 2.2数据流程分析: 13 2.3数据存储分析:实体联系图 14 2.4功能分析:功能层次图 16 3.系统设计 17 3.1软件模块结构设计 17
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:382721
    • 提供者:小曾
  1. czsx

    0下载:
  2. 重铸顺序 1.真正的变量 2.真正的抽象 3.真正的数据 4.真正的接口 5.真正的原语 6.真正的对象 7.真正的STL 8.真正的继承 9.真正的数据库 10.真正的脚本 11.真正的类库 12.真正的虚拟机 13.真正的.NET 14.真正的COM 15.真正的反工程 16.真正的调试 17.真正的GUI 18.真正的变换 19.真正的人生哲学
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:27978
    • 提供者:李少龙
  1. minigui-2.4.18

    0下载:
  2. miniGUI1.6.0 在linux-2.4.18上移植
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1874
    • 提供者:zhujumei
  1. shujku

    0下载:
  2. 炼钢厂作业管理子系统数据库设计 目录 1系统目标 1 1.1 背景介绍 1 1.2系统目标 2 2需求分析 3 2.1 组织结构分析 3 2.2业务流程分析 3 2.3数据流程分析 8 2.4数据字典 13 3概念结构设计 14 4 逻辑结构设计 18 5 物理结构设计 18
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:212566
    • 提供者:sd
  1. wanluojiaoxue

    0下载:
  2. 网络教学系统的概要设计文档 一.引言 1.1编写目的 3 1.2背景 3 二.总体设计 3 2.1需求规定 3 2.2运行环境 4 2.3基本设计概念和处理流程 4 2.4结构 6 2.5功能需求与程序的关系 9 2.6人工处理过程 10 2.7尚未问决的问题 10 三.接口设计 11 3.1用户接口 11 3.2外部接口 11 3.3内部接口 11 四.运行设计 14 4.1运行模块组合 14 4.2运行控制 15
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:72602
    • 提供者:cx
  1. BYYN

    0下载:
  2. 用一门面向对象语言建立一个针对LL(1)文法分析构造演示器,输入定义好的文法,进行分析后在内存中建立其存储结构,判断其能用LL(1)文法分析后,建立其分析过程。 为此我们将本任务分解为以下内容: (1)文法的建立; (2)上下文无关文法的判定; (3)消除文法中一切左递归的算法; (4)文法二义性的判定; (5)LL(1)文法的判定; (6)消除直接左递归; (7)消除间接左递归; (8)直接左公因子的改造; (9)间接左公因子的改造; (10
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:187304
    • 提供者:方方
  1. 防火墙与入侵检测课程设计

    2下载:
  2. 目录 1 引言... 1 1.1      防火墙的基本工作原理... 1 1.1.1 防火墙技术分类... 1 1.1.2 包过滤防火墙... 1 1.1.3应用网关防火墙... 2 1.1.4 状态检测防火墙... 2 1.2 入侵检测系统原理... 2 1.2.1 按入侵检测的主要技术分类... 3 1.2.2 按入侵检测系统的数据来源分类... 3 2 本系统的网络拓扑图及IP地址规划... 4 2.1 网络拓扑图.
  3. 所属分类:其它文档

    • 发布日期:2009-01-16
    • 文件大小:653824
    • 提供者:aideyongheng
  1. MFC程序员的WTL指南

    1下载:
  2. 序言 3 第一章ATL 界面类 4 1.1、对本书的总体介绍 4 1.2、对这一章的简单介绍 5 1.2.1、ATL 背景知识 ATL 和 WTL 的发展历史 5 1.2.2、ATL风格模板 6 1.3、ATL 窗口类 8 1.3.1、定义一个窗口的实现 8 1.3.2、填写消息映射链 9 1.3.3、高级消息映射链和嵌入类 11 1.3.4、ATL程序的结构 13 1.3.5、ATL中的对话框 15 第二章WTL 界面基类 18 2.1、WTL 总体印象 18 2.
  3. 所属分类:文档资料

  1. 简单网络管理协议SNM

    0下载:
  2. 1. 网络管理基本概念 3 2. 简单网络管理协议SNMP概述 4 2.1 SNMP的发展 4 2.2 SNMP的配置 5 3. 管理信息库MIB 6 4. SNMP的5种协议数据单元 8 5.管理信息结构SMI 11 6. SNMPv2协议 12 6.1 SNMPv2标准中的安全机制 14 6.2 SNMPv2 Party 14 6.3 SNMPv2协议操作 15 7. SNMPv3的体系结构 15 8. 公共管理信息CMIP 17 9. SNMP与CMIP的比较 1
  3. 所属分类:文档资料

    • 发布日期:2009-03-07
    • 文件大小:982016
    • 提供者:guyanzhao11
  1. 上海市城域网技术实施方案

    0下载:
  2. 目 录 1. 网络结构设计 1 1.1. 城域骨干网B平面组网结构 1 1.2. 宽带接入网组网方案 2 1.2.1. 市区关键业务子网 3 1.2.2. 郊区关键业务子网 3 2. 路由设计 5 2.1. 总体路由策略 5 2.1.1. 城域网B平面设立私有AS,与CN2及CHINANET不直连(MPLS VPN 可以直接连接CN2 SR) 6 2.2. IGP方案 7 2.3. BGP方案 8 2.4. MPLS VPN的CE-PE路由设计 10 3. L3 MPLS
  3. 所属分类:其它文档

    • 发布日期:2010-10-21
    • 文件大小:3515472
    • 提供者:lm197801
  1. 金仓串口MODEM池使用操作说明书

    0下载:
  2. 1 产品介绍..................................................................................................................................4 1.1 功能特点........................................................................................................
  3. 所属分类:编程文档

    • 发布日期:2011-01-05
    • 文件大小:289042
    • 提供者:jaty613
  1. 东软实习报告

    0下载:
  2. 东软实习报告1 实习时间及地点 2 2 实习目标 2 3 实习项目及内容 2 4 生产实习 2 4.1实习总结 2 4.2主要程序代码 3 5 东软公司简介 10 6 活动内容 11 6.1 初到东软 11 6.2 东软的欢迎会 11 6.3 认知嵌入式 12 6.4 认识东软 13 6.5 沟通、职业生涯 14 6.6 掌声背后的故事 14 6.7 时间观念 15 6.8 职涯点亮行动 16 6.9 凯旋日记 17 7 活动体会 18 8 大连华信的讲座总结
  3. 所属分类:报告论文

  1. ruanjianxiugaibaogao

    0下载:
  2. 十三、软件修改报告 1.登记号 1 2.登记日期 1 3.时间 2 4.报告人 2 5.子系统名 2 6.模块名 2 7.“软件修改报告”的编号 2 8.修改 2 9.修改描述 2 10.批准人 2 11.语句类型 2 12.程序名 2 13.老修订版 2 14.新修订版 2 15.数据库 2 16.数据库修改报告 2 17.文件 2 18.文件更新 2 19.修改是否已测试 2 20.“软件问题报告”是否给出
  3. 所属分类:software engineering

    • 发布日期:2017-03-28
    • 文件大小:8309
    • 提供者:wei163
  1. Supermarket

    0下载:
  2. 1 绪 论 1 1.1课题背景 1 1.2系统开发关键技术介绍 2 2 系统分析 3 2.1 用户工作流程 3 2.2 用户业务需求 3 3 系统设计 4 4.1 设计思想 4 4.2 系统功能结构图 4 4.3模块功能设计 4 4 系统数据库设计 5 4.1系统数据库的建立 5 4.2 系统E-R图 6 4.3 各个数据表的创建 7 4.4创建相关视图 9 4.5存储过程设计 9 4.6 数据库的连接 10 5系统实现 11
  3. 所属分类:Document

    • 发布日期:2017-03-26
    • 文件大小:452791
    • 提供者:周莹
  1. crosstoolgcc3.2.3

    0下载:
  2. 介绍自制gcc3.2.3交叉编译器,linux2.4.18-Introduction homemade gcc3.2.3 cross-compiler, linux2.4.18
  3. 所属分类:software engineering

    • 发布日期:2017-11-24
    • 文件大小:2524
    • 提供者:邹学江
  1. 2

    0下载:
  2. 51单片机实现modbus协议 是一个程序~-#include <REGX52.H> #include<intrins.h>//_nop_() #define OSFREQ 11059200 char TimeInterval char MyAddress char data DI[6]={1,2,3,4,5,6} char data DO[6]={6,7,8,9,10,11} char data A
  3. 所属分类:Software Testing

    • 发布日期:2017-04-26
    • 文件大小:10221
    • 提供者:`1234567890-=
« 12 »
搜珍网 www.dssz.com