CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - BEEP

搜索资源列表

  1. a1

    0下载:
  2. this another program of assembly language where in every press on the keyboard there will be a beep tone and box of colors will appear-this is another program of assembly language where in every press on the keyboard there will be a beep tone and box
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:718
    • 提供者:brenda
  1. c-talk

    0下载:
  2. 用基于TCP/IP协议连接方式, 实现了服务器转发,多客户连接,好友列表上线了自动更新,程序托盘,当有消息时,如果程序最小化,跟QQ一样,托盘的图标会闪烁.有提示音.实现ctrl+enter与enter转切换. 基本类似于QQ群. 由于是SQL库的,所以能很方便的集成到你的OA系统中。 (注意,请把"客户端目录的face和music两个文件夹放到您编译的目录中去,也就是放到Debug或者Release中去) 和这个是一样的,不过我的是源代码而已。可以自己定制。-Use based o
  3. 所属分类:Communication

    • 发布日期:2017-04-09
    • 文件大小:1348804
    • 提供者:lizhi
  1. BEEP

    0下载:
  2. 本程序是利用单片机播放音乐,可以制作简易的音乐盒-This procedure is to use microcontroller to play music, you can create a simple music box
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:1269
    • 提供者:xiao tuo
  1. beep

    0下载:
  2. 嵌入式linux蜂鸣器的测试程序,做嵌入式基础学习!-linux study
  3. 所属分类:Linux Network

    • 发布日期:2017-03-29
    • 文件大小:21429
    • 提供者:张俊龙
  1. vcService

    0下载:
  2. 本文共涉及3个工程:beep、install、uninstall。   1)beep工程用于新服务的实现,工程中包含beep.cpp。编译并生成beep.exe,将其拷贝至c:\目录下,供安装程序调用。当安装完毕后,SCM也将调用beep.exe来执行该服务。   2)install工程用于新服务的安装,工程中包含install.cpp。编译并生成install.exe,将其拷贝至:c:\目录中,然后在Windows NT中单击“开始”,再单击“运行”,使用“运行”命令执行带3个参数
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:12745
    • 提供者:zs21
  1. beep_test

    0下载:
  2. 周立功EPC8900蜂鸣器测试例程,包含.c文件和makefile文件。绝对好用,原版光盘中的资源。-ZLG EPC8900 buzzer test routines, including. C files and makefile files. Definitely useful, original CD-ROM resources.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-25
    • 文件大小:802
    • 提供者:马文军
  1. Game

    0下载:
  2. Opengl开发的一个小飞机游戏。游戏有背景音乐,并且玩家飞机在开火吃奖品或者被击毁是有相应的提示音。玩家的数据实时显示在画面中。-Opengl game developed by a small plane. Games have background music, and prize the player aircraft fire or be destroyed is to eat a corresponding beep. Players of the data displayed on
  3. 所属分类:OpenGL program

    • 发布日期:2017-03-29
    • 文件大小:895112
    • 提供者:daxiyun
  1. fengmingqi5.18

    0下载:
  2. 用89C51玩蜂鸣,利用单片机的I/O引脚以延时方式产生方波,进而使蜂鸣器发声。注意当中用了P2_7做开关,下载完成后还需要按下这个键才能发声-Play beep with 89C51, using MCU I/O pins to produce a square wave delay means, and thus make the buzzer sound. Note which took P2_7 do switch, the download is complete press the
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:7610
    • 提供者:黎林原
  1. C_BeepMusic

    0下载:
  2. 利用主板的Beep音编写的Beep音乐小游戏. 为初学C语言的朋友增加学习兴趣. 此程序界面是韩文版.在中文系统下显示乱码. 但程序能够正常运行.-Using the motherboard Beep Beep tone music game prepared. For the novice C increased interest in learning the language of a friend. This program interface is Korean. In the C
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-29
    • 文件大小:48136
    • 提供者:KSG
  1. beep

    0下载:
  2. 1~7声音循环输出程序 蜂鸣器输出 芯片EPM1270-1 to 7 audio output
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:44180
    • 提供者:hechunlei
  1. DS18B20xiugai

    0下载:
  2. 18b20测温的c语言程序/DS18B20温度传感器程序 #include <reg52.h> #define Alarm 10 sbit Beep=P3^4 sbit DQ=P2^4 //数据传输线接单片机的相应的引脚 unsigned char tempL=0,tempH=0 //设全局变量 unsigned char flag=0 unsigned int temperature,negtemper //温度值保存在temperature里
  3. 所属分类:Other systems

    • 发布日期:2017-03-21
    • 文件大小:2416
    • 提供者:留洋
  1. gpio

    0下载:
  2. 在ARM LPC2214下的通用IO控制BEEP代码,感觉很不错的实验.-ARM LPC2214 under the general IO control BEEP code, I feel very good experiment.
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:419336
    • 提供者:吴鸿章
  1. beep

    1下载:
  2. 基于VHDL的蜂鸣器实验方案,已经通过验证,可放心使用-VHDL-based buzzer experimental program has been verified, safe for use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-06-11
    • 文件大小:342016
    • 提供者:韩向超
  1. fengmingqi

    0下载:
  2. 改變P1.3腳的電平,然後延時。這樣,這個循環就使得P1.3口輸出一個頻率為2.5khz~4khz的方波。在實驗平臺上運行程序時,d4區的蜂鳴器將發出響聲。-Change P1.3 foot level, and then delayed. In this way, the cycle makes the P1.3 port on the output of a frequency 2.5khz ~ 4khz the square wave. In the experimental platfo
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:645
    • 提供者:kevin
  1. Beepmusic

    0下载:
  2. 此源代码为s3c44b0x开发板的蜂鸣器测试程序,是学习ARM的好资料-the source code is about beep test on s3c44b0x
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-05
    • 文件大小:75814
    • 提供者:harvey
  1. beep

    0下载:
  2. 单片机控制喇叭唱歌,歌曲名为八月桂花,由P1.5脚控制。-control singing
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:1209
    • 提供者:haizi
  1. GEC2410-devlop-pro

    0下载:
  2. GEC2410开发板实验程序-LED-RTC-EINT-BEEP-NORFLASH-NANDFLASH-GEC2410 development board protocol-LED-RTC-EINT-BEEP-NORFLASH-NANDFLASH
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:10058
    • 提供者:
  1. QQ

    0下载:
  2. 采用基于TCP/IP协议连接方式, 实现了服务器转发,多客户连接,好友列表上线了自动更新,程序托盘,当有消息时,如果程序最小化,跟QQ一样,托盘的图标会闪烁.有提示音.实现ctrl+enter与enter转切换. 基本类似于QQ群. 由于是SQL库的,所以能很方便的集成到你的OA系统中。 (注意,请把"客户端目录的face和music两个文件夹放到您编译的目录中去,也就是放到Debug或者Release中去) 和这个是一样的,不过我的是源代码而已。可以自己定制。-Based on T
  3. 所属分类:TCP/IP Stack

    • 发布日期:2017-04-09
    • 文件大小:1518614
    • 提供者:冷月宫主
  1. qd-asm

    0下载:
  2. 又一种抢答器的AT89S51程序, SW1 SW2 SW3 SW4 用数码管显示最先按下的按键 01 02 03 04 并点亮对应灯: D2 D3 D4 D5 显示2S后退出。 同时发出 “嘟”一声。-Yet another answering device AT89S51 procedures, SW1 SW2 SW3 SW4 with digital display by pressing the button the first 01 02 03
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:10537
    • 提供者:zhengtiyu
  1. beep

    0下载:
  2. 通过用开发板上的蜂鸣器来实现发出警车鸣笛声-With the development board through the buzzer to send police car siren sound to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:749
    • 提供者:崔冬博
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 29 »
搜珍网 www.dssz.com