CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - CI

搜索资源列表

  1. CI-01_Aug09

    0下载:
  2. Constant Charger For Battery.
  3. 所属分类:Project Manage

    • 发布日期:2017-04-17
    • 文件大小:222737
    • 提供者:raju Bose
  1. SJ_11336DVB-CI

    0下载:
  2. 开发DVB-C的专业标准,是目前我国三网合一的参考开发手册,很值得需要开发DVB-CA的人员参考.-Professional standards developed by DVB-C, is currently China s triple play a reference manual, very worthy of the need to develop DVB-CA reference
  3. 所属分类:software engineering

    • 发布日期:2017-05-01
    • 文件大小:799844
    • 提供者:eric
  1. yi-yuan-er-ci-

    0下载:
  2. 解一元二次方程,并判断有解无解,接出实数解和虚数解-Solving a quadratic equation
  3. 所属分类:Algorithm

    • 发布日期:2017-04-11
    • 文件大小:961
    • 提供者:dave@
  1. txt

    0下载:
  2. 最新编写 php CI框架txt上传 使用/n /t空格换行-Txt framework written in php CI latest uploads using the/n/t space with newline
  3. 所属分类:Linux Network

    • 发布日期:2017-04-11
    • 文件大小:930
    • 提供者:andy
  1. cover

    0下载:
  2. 覆盖问题 ★问题描述: 通信公司准备在n 个村庄中的某些村庄安装基站,对于村庄i ,在其中建立基站的花费 是Ci。我们把村庄看成是一个二维平面上的点。对于每一个基站,他们的规格,配置都是 相同的,一个基站发射的信号可以覆盖以它为中心的半径为R 米的圆形区域(边界上的也 算被覆盖)。现在公司希望用最小的花费,使得这n 个村庄都能被信号覆盖。 ★实验任务: 对于给定的村庄的位置和修建基站的信息,求出公司覆盖所有村庄的最小花费。 ★数据输入: 第一行是一个整数n(1
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:562
    • 提供者:迷若烟雨
  1. 56876346

    0下载:
  2. CI扩展标签栏为iOS,精选ios学习编程源码,很好的参考资料。-CI extensions tab for iOS, select IOS to learn programming source code, a good reference.
  3. 所属分类:iPhone

    • 发布日期:2017-04-16
    • 文件大小:55364
    • 提供者:南煎丸子
  1. Communication_satellite

    0下载:
  2. Les communications par satellite utilisent ceux-ci comme relais hertziens : comme tout corps cÈleste, les satellites obÈissent aux lois de Kepler et l'on distingue 3 types d'orbites, selon l'altitude
  3. 所属分类:文档资料

    • 发布日期:2013-12-14
    • 文件大小:101272
    • 提供者:dipfr@yahoo.fr
  1. gauss_seidel

    0下载:
  2. La méthode Gauss-Seidel est une méthode itérative de résolution d un système linéaire (de dimension finie) de la forme Ax = b, ce qui signifie qu elle génère une suite qui converge vers une solution de cette équation, lorsque celle-ci en a une et lor
  3. 所属分类:Algorithm

    • 发布日期:2017-04-15
    • 文件大小:7075
    • 提供者:zineb24
  1. CPPcifafenxiqi

    0下载:
  2. 编译原理词法分析器,用C++实现。用于识别C++语言。-zhe shi yi ge ci fa fen xi qi. xi wang da jia xi huan. xie xie !
  3. 所属分类:Compiler program

    • 发布日期:2017-04-08
    • 文件大小:4620
    • 提供者:高修
  1. CPP-C

    0下载:
  2. 这是一个编译原理词法分析器的实验报告,包含相关代码!-ci fa fen xi qi,xi wang da jia xi huan.
  3. 所属分类:Compiler program

    • 发布日期:2017-04-06
    • 文件大小:24973
    • 提供者:高修
  1. sgu236.cpp.tar

    0下载:
  2. sgu problem 236 hint : using binary search on sigma(ci)/sigma(ti) and then check if graph has negetive cycle
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:899
    • 提供者:amir hossein
  1. amp-latency-AR-test

    0下载:
  2. Burg算法功率谱估计,采样点数nfft不会影响MAX值和位置. s=data22(6,:) s=s(50:150) nfft=2048 fs=500 EEG [px1,f1]=pburg(s,6,nfft,fs) figure plot(f1,px1) title( CI——Burg算法功率谱估计 ) xlabel( Frequency(Hz) ) ylabel( Power ) IndMax=find(diff(sign(diff(p
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:587
    • 提供者:yanlili0703
  1. buku-CI

    0下载:
  2. Basic tutorial about web and database programming using framework Code Igniter
  3. 所属分类:software engineering

    • 发布日期:2017-05-15
    • 文件大小:3752593
    • 提供者:thongthong
  1. GPRS-test-code

    0下载:
  2. BUTTON LPC2148 The Virtual System Modeling (VSM) component complements the PROTEUS package ... standard and high resolution passive STN, and 36-hit active matrix TFT LCD panels. ...... Antenna impedance 50 CI Data rate 2.4 kbps Transmit power Appro
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-07
    • 文件大小:1480
    • 提供者:QBIT
  1. TayCMS_1.8

    0下载:
  2. TayCMS php免费企业建站系统,基于php+mysql+ci的免费开源建站系统。代码分享,便于制作HTML模板;集成KindEditor编辑器,网站后台功能强大,管理简捷,支持模板机制,数据库备份等功能,帮助企业管理人员快速建立企业网站-TayCMS php free Build system based on free and open source systems php+mysql+ci the station. Code-sharing, to facilitate the pro
  3. 所属分类:WEB(ASP,PHP,...)

    • 发布日期:2017-05-14
    • 文件大小:3374527
    • 提供者:fpudn8
  1. yiyuanerci

    0下载:
  2. java 编写的简单一元二次方程图形界面 -yi yuan er ci fang cheng
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:1447
    • 提供者:suo
  1. av7110_ca

    0下载:
  2. CA and CI stuff for Embedded Linux.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-14
    • 文件大小:2753
    • 提供者:vuxiuneng
  1. ActiveRecordcxgx_v1.0

    0下载:
  2. 用过PHP框架 ThinkPHP 或 CI框架的朋友可能都知道自带的数据查询Active Record功能,用此来查询数据和更新数据相当方便,而且很多PHP框架都支持 Active Record,让我们可以绕过繁琐的原生态SQL查询语句,项目维护更加方便。本程序是在Asp模拟Active Record功能,已经成功的应用于 “基于AspBox框架的AppCore” 的应用上,应用核心代码是从AppCore里进行分离。-PHP framework used ThinkPHP friend or C
  3. 所属分类:WEB(ASP,PHP,...)

    • 发布日期:2017-04-23
    • 文件大小:55522
    • 提供者:ypudn38
  1. qvod4.5

    0下载:
  2. qvod4.5二次开发适合新朋友学习使用-qvod4.5 ER CI KAI FA
  3. 所属分类:Multimedia program

    • 发布日期:2017-04-07
    • 文件大小:2944
    • 提供者:马赫
  1. ciscode

    0下载:
  2. CI driver in conjunction with NetUp Dual DVB-T RF CI card.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-15
    • 文件大小:7036
    • 提供者:fontongmiu
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 19 »
搜珍网 www.dssz.com