CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - CI

搜索资源列表

  1. c7

    0下载:
  2. 利用该程序可实现插值运算,达到差值滤波,CI插值滤波器的实现-cascador integrator comb fliter s design
  3. 所属分类:matlab

    • 发布日期:2017-04-14
    • 文件大小:4019
    • 提供者:huang xuejiao
  1. Maven3

    0下载:
  2. MAVEN实战,与CI服务器组合,管控代码和版本的利器-The MAVEN combat, and CI server combination, the control code and the version of the weapon
  3. 所属分类:Java Develop

    • 发布日期:2017-05-08
    • 文件大小:1920636
    • 提供者:wujinshan
  1. DiliCMSV2.0.0

    0下载:
  2. Ci的开源项目内容管理系统,是CI系统入门必备。-CI open
  3. 所属分类:WEB(ASP,PHP,...)

    • 发布日期:2017-05-04
    • 文件大小:1157180
    • 提供者:human2312
  1. Text2

    0下载:
  2. 每次遮挡一下红外发射装置,数码管进行加一操作-mei ci zhe dang hong wai ,shu ma guan jia yi
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:1838
    • 提供者:mali
  1. cifafenxi

    0下载:
  2. 词法分析-编译原理课程可以用到的-大学本科计算机科学与技术的专业课之一-ci fa fen xi
  3. 所属分类:其他小程序

    • 发布日期:2017-03-31
    • 文件大小:597764
    • 提供者:卫晓彤
  1. AutoCAD--er-ci-kai--fa

    0下载:
  2. 主要介绍用户应用AutoLISP语言进行AutoCAD的二次开发,编写连接紧固件中的内六角螺钉参数化绘图程序,内六角螺钉是常用的标准件。-This paper introduces a concrete example of user applications for AutoCAD s AutoLISP language secondary development, the preparation of the bolt fastener to connect the parameters o
  3. 所属分类:CAD

    • 发布日期:2017-03-22
    • 文件大小:17827
    • 提供者:cccc
  1. project

    0下载:
  2. 这是一个用php+mqsql+CI做成的一下小网站-This is a PHP+ MQSQL+ CI of make it of a small web sites
  3. 所属分类:WEB(ASP,PHP,...)

    • 发布日期:2017-05-08
    • 文件大小:1674480
    • 提供者:雨帆
  1. coursJava

    0下载:
  2. Java fait partie de la famille des langages de classes, une application Java est formée d un ensemble de classes. Celles-ci permettent de définir des types d objets avec leurs opérations spécifiques. Les entités manipulées dans le programme sont
  3. 所属分类:software engineering

    • 发布日期:2017-05-08
    • 文件大小:1722489
    • 提供者:montassar
  1. cifafenxi

    0下载:
  2. 词法分析器 编译原理综合实验 是自己做的小东西-ci fa fen xi qi
  3. 所属分类:Compiler program

    • 发布日期:2017-04-04
    • 文件大小:125726
    • 提供者:liuyaou
  1. huongdan

    0下载:
  2. hư ớ ng dẫ n cài ns-2 trên linux
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-06
    • 文件大小:80691
    • 提供者:djepnguyen
  1. codeigniter

    0下载:
  2. 描述CI框架的一本好书,描述CI框架的一本好书-a good book of describing CI framework,a good book of describing CI framework
  3. 所属分类:WEB(ASP,PHP,...)

    • 发布日期:2017-05-04
    • 文件大小:1065956
    • 提供者:
  1. yuandaima

    0下载:
  2. UG二次开发实例源程序代码,很多很好的例子,对于初学者有好的指引作用-UG er ci kai fa shi li yuan cheng xu dai ma ,it is the very good examples,it will benifit for you
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:73688
    • 提供者:liu heng
  1. rs

    0下载:
  2. 一 种基于概率潜在语义模型 的高分 辨率遥感影像分类方法-A P r obabi I i s t i c L at en t S eman t i c An aI y si s Bas ed CI a s s i f i cat i on f o r Hi g h Re s ol u t i on Remot el y S en s ed I mag e r y
  3. 所属分类:Special Effects

    • 发布日期:2017-04-04
    • 文件大小:577117
    • 提供者:卢卡斯
  1. OJ

    0下载:
  2. 2897:英文缩写词 给定一个由若干英文单词组成的字符串,生成一个由各首字母组成的缩写词(acronym),其中的the,a,an,of,for及and被忽略。 2895: 循环小数 给定一个分数,判断其是否是一个无限循环小数,并输出它的第一个循环节 2531: 乘船 春暖花开,实验室集体去长风公园泛舟。 实验室有n(1<=N<=2000)个人,每个人重量为ci.长风公园的每艘船的载重量为K,每次最多乘两人。假设每个人只能坐一次船,那么至
  3. 所属分类:assembly language

    • 发布日期:2017-03-22
    • 文件大小:7116
    • 提供者:213
  1. jiancehuiwen

    0下载:
  2. 问题描述:对于给定的一个n个字符组成的字符串s,判断其是否为回文,设字符串s为: S:”C1,C2,…….Ci,Ci+1,…..Cn”,则对于P=(n/2),字符串s满足如下条件。 若n为偶数,则有C1=Cn,C2=Cn-1,….,Cp-1=Cp+2,Cp=Cp+1 若n为奇数,则有C1=Cn,C2=Cn-1,….,Cp-1=Cp+3,Cp=Cp+2. -The descr iption of the problem: given an n-character string s
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:42332
    • 提供者:李新传
  1. ci-fa-bian-yi

    0下载:
  2. 实现词法分析器功能,输出二元式,判断错误-word analyasys,output,show error
  3. 所属分类:Compiler program

    • 发布日期:2017-03-28
    • 文件大小:13590
    • 提供者:朱雪飞
  1. CI

    0下载:
  2. FPGA实现FFt算法和互相关算法,有硬件结构图和相应的程序。-FPGA implementation FFt algorithm and cross-correlation algorithm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1303971
    • 提供者:
  1. shuangseqiu

    0下载:
  2. 算双色球中奖,用javascr ipt书写,每次刷新就会生成一组双色球号码-suan shuang se qiu zhong jiang,mei ci shua xin jiu hui sheng cheng yi zu shuang se qiu hao ma
  3. 所属分类:JavaScript

    • 发布日期:2017-03-30
    • 文件大小:13878
    • 提供者:继峰
  1. Pperrmanent-cI

    0下载:
  2. PIC单片机SCM 液晶LCD 组组成的万年历,带有protues仿真 -PIC microcontroller SCM LCD LCD group consisting of calendar, with protues simulation
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-16
    • 文件大小:304841
    • 提供者:高尚
  1. Ddijkstra-ci

    0下载:
  2. Dijkstra算法是典型的最短路算法,用于计算一个节点到其他所有节点的最短路径。主要特点是以起始点为中心向外层层扩展,直到扩展到终点为止。 Dijkstra算法能得出最短路路径的最优解,但由于它遍历计算的节点很多,所以效率低。Dijkstra算法是一种逐步搜索算法,通过为每个顶点n保留目前为止所找到的从m到n的最短路径来工作的。CSHARP实现算法 -Dijkstra algorithm is a typical shortest path algorithm, used to calcu
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-04
    • 文件大小:1728
    • 提供者:
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 19 »
搜珍网 www.dssz.com