CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - CI

搜索资源列表

  1. huoquxinxi

    0下载:
  2. 显示本地磁盘的信息,可以显示本地计算机中的所有磁盘的信息,包括软驱。-Xian shi ben di ci pan xin xi
  3. 所属分类:WEB(ASP,PHP,...)

    • 发布日期:2017-04-03
    • 文件大小:785
    • 提供者:非痕
  1. vigenere

    0下载:
  2. 计一个vigenere密码类,类的对象各自拥有不用的密匙。用不用对象加密相同的明文,将会获得不同的密文。加密过程如下:设密匙为K=k1k2.......kn,明文为M=m1m2.......mn,密文为C=c1c2.......cn,其中k1k2.........kn,m1m2...........mn, c1c2.........cn,每个都代表一个字母。 将字母A到Z编号,从0到25,那么它们之间有这样的对应关系:ci=(mi+ki)mod26。 例如,M=data sec
  3. 所属分类:加密解密

    • 发布日期:2017-04-01
    • 文件大小:1664
    • 提供者:小杨
  1. cpuANDram

    0下载:
  2. Program sł uż y do sztucznego przecią zania procesora i zapeł niania pamię ci RAM.
  3. 所属分类:CSharp

    • 发布日期:2017-05-14
    • 文件大小:3268868
    • 提供者:Kris18
  1. En50221

    0下载:
  2. 数字电的CI接口的规范,对做数字电视的编程的很有用-specification of dvb ci,It is useful for DTV engneer
  3. 所属分类:Streaming_Mpeg4

    • 发布日期:2017-03-27
    • 文件大小:298820
    • 提供者:彩色人
  1. editweb

    0下载:
  2. 基于ci框架使用的新浪web编辑器外观的源码 -Sina ci framework based on use of the appearance of the source web editor
  3. 所属分类:WEB(ASP,PHP,...)

    • 发布日期:2017-04-04
    • 文件大小:162294
    • 提供者:sjping
  1. Encryption-Software

    0下载:
  2. 加密软件: 输入任意一段明文M,以及密钥K 根据以下公式将其转换为密文C。Ci = mi + K ,其中i = 0,1,……n-1 , K 为密钥;具有输入输出界面。 -Encryption Software: Any period of plaintext M, and the key K according to the following formula to convert ciphertext C. Ci = mi+ K, where i = 0,1, ... ... n-1
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-02
    • 文件大小:755
    • 提供者:susan
  1. fonc_masque

    0下载:
  2. ce document a été oploadé par moi meme ce jour-ci à la compagnie du mr ayoub le plus grand 3atay que le monde a jamais connu!! merci pour votre attention,
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:1696
    • 提供者:ayoub
  1. wordsplit

    0下载:
  2. 单词处理 ~~~ ~~~~~ 对读入的某个文本文件input.txt中,拆出英文单词,输出一个按字典顺序排列的单词表,结果输出在文本文件output.txt中,每个单词一行,并在单词后输出该单词出现的个数,两个字段之间用逗号分隔。约定单词仅由英文字母组成,单词间由非英文字母分隔,相同单词只输出一个,大小写不区分。 -dan ci chu li
  3. 所属分类:File Operate

    • 发布日期:2017-04-07
    • 文件大小:2023
    • 提供者:fuuk
  1. qos_support_ns

    0下载:
  2. Le simulateur ns permet la descr iption et la simulation de réseaux IP. Le réseau est représenté (modélisé) par ses sources de trafic (applications), ses protocoles (UDP, TCP), ses routeurs (avec leurs files d attente) et les liens qui les reli
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-03-22
    • 文件大小:46777
    • 提供者:hassan
  1. wuxian

    0下载:
  2. 基于CodeIgniter的无限分类。此包不包含CI框架,所以应用之前请先下载CI框架.-Classification based on the infinite CodeIgniter. This package does not contain the CI framework, the application before you download the CI framework.
  3. 所属分类:WEB(ASP,PHP,...)

    • 发布日期:2017-04-05
    • 文件大小:380187
    • 提供者:huahua
  1. ci

    0下载:
  2. 磁力正演程序,王老师的杰作。可以用来计算全空间任意点的磁场。-Magnetic forward procedure, Wang s masterpiece. The whole space can be used to calculate the magnetic field at any point.
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:1640
    • 提供者:zhangsheng
  1. CPPcifafenxi

    0下载:
  2. 编译原理词法分析器基于C++手工构造的词法分析器-Lexer ci fa fen xi qi
  3. 所属分类:Compiler program

    • 发布日期:2017-03-30
    • 文件大小:1116
    • 提供者:幻月
  1. parameter_Jakes

    0下载:
  2. 确定离散多普勒频移(fi,n)、多普勒系数(Ci,n)、多普勒相移(@i,n):适用于仿真经典功率谱的情况。-failed to translate
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:751
    • 提供者:
  1. 084221033

    0下载:
  2. 一元多项式计数器 基本要求: (1)输入并建立多项式; (2)输出多项式:n, c1, e1, c2, e2, …cn , en, 其中,n是多项式项数,ci和ei分别是第 i 项的系数和指数,序列按指数降序排列。 (3)两个多项式相加、相减、相乘、相除; (4)计算多项式在x处的值; (5)求多项式的导函数。 -Unary polynomial counter basic requirements: (1) input and the establishme
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-07
    • 文件大小:3260
    • 提供者:yy
  1. spi

    0下载:
  2. Code for to do comunication spi between two CI s.
  3. 所属分类:Communication

    • 发布日期:2017-04-03
    • 文件大小:1148
    • 提供者:Sibele
  1. duzijie3

    0下载:
  2. 单片机实验第三个汇编程序多字节,供大家下载查阅-dan pian ji duo zi jie xie xie cha kan ben ren di yi ci shang chuan
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:1623
    • 提供者:甄闯
  1. ming-gan-ci-hui

    0下载:
  2. 网站设计必备的敏感关键词汇Web site design sensitive key words necessary-Web site design sensitive key words necessary
  3. 所属分类:Search Engine

    • 发布日期:2017-03-29
    • 文件大小:5618
    • 提供者:ylb
  1. VHDL_Somador8Bits

    0下载:
  2. * FullAdder implementation in VHDL with respectives signals: a, b : in std_logic_vector (7 downto 0) soma : out std_logic_vector (7 downto 0) ci : in std_logic co : out std_logic overflow : out std_logic negativo : out std_logic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1533
    • 提供者:Newarney
  1. CI-01_June09

    0下载:
  2. power controlling circuit
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-06
    • 文件大小:274227
    • 提供者:ninad
  1. condor-5000-jtag-schema

    0下载:
  2. condor 5000 ci jtag schema & pinout in motherboard
  3. 所属分类:software engineering

    • 发布日期:2017-04-07
    • 文件大小:17569
    • 提供者:FERKOUS
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 19 »
搜珍网 www.dssz.com