CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - DISPLAY

搜索资源列表

  1. ole-display-experiment

    0下载:
  2. 单片机开发板OLE显示实验,本实验利用战舰STM32开发板连接ALIENTEK OLED模块,实现OLED模块的显示,下载成功后,通过把OLED模块插入左下角的摄像头模块/OLED模块接口,按下复位之后,就可以看到OLED模块不停的显示ASCII码和码值。-Microcontroller development board ole ole display experiment
  3. 所属分类:SCM

    • 发布日期:2017-11-15
    • 文件大小:50047
    • 提供者:jilly
  1. The-12864-clock-display-program

    0下载:
  2. 12864时钟显示程序~~12864显示模块程序,以及单片机中断实现时钟显示~-The 12864 clock display program
  3. 所属分类:SCM

    • 发布日期:2017-11-17
    • 文件大小:32886
    • 提供者:Benedict chan
  1. LCD12864-display

    0下载:
  2. 用HG-2G AVR 单片机实现的 LCD12864显示实验-HG-2G AVR microcontroller LCD12864 display experiment
  3. 所属分类:SCM

    • 发布日期:2017-11-20
    • 文件大小:63709
    • 提供者:ning
  1. UART-DISPLAY

    0下载:
  2. lcd 显示,Verilog语言,串口接收数据,并在LCD中显示,波特率9600,包括主文件,LCD控制文件,波特率发生文件-lcd display Verilog language, serial port to receive data, and the LCD display, baud rate of 9600, including the master file, the LCD control file, the baud rate generator file
  3. 所属分类:Other systems

    • 发布日期:2017-11-27
    • 文件大小:533297
    • 提供者:jsquare
  1. the-opengl-display-Chinese

    0下载:
  2. opengl显示中文,含5个文件,在创建窗口中显示中文-the opengl display Chinese, containing 5 files, create a window display of Chinese
  3. 所属分类:Other systems

    • 发布日期:2017-11-16
    • 文件大小:490336
    • 提供者:kz
  1. C-code-to-print-or-display-lower-triangular-matri

    0下载:
  2. C code to print or display lower triangular matrix
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-12
    • 文件大小:8510
    • 提供者:ramanathan
  1. usb-camera-acquisition-and-display

    0下载:
  2. arm板上实现usb摄像头采集及显示(qt)-achieve usb camera acquisition and display on ARM
  3. 所属分类:Video Capture

    • 发布日期:2017-11-07
    • 文件大小:424182
    • 提供者:雍群
  1. ADC-result-display-on-TFT

    2下载:
  2. 路虎LPC1768开发板TFT显示ADC电压-Land Rover LPC1768 development board TFT display ADC voltage
  3. 所属分类:SCM

    • 发布日期:2014-12-08
    • 文件大小:186368
    • 提供者:马超
  1. display

    0下载:
  2. DM368 DVSDK 4.02 显示功能,能够显示分辨率为640X480功能的。-DM368 DVSDK 4.02 DISPLAY FUNCTION IT CAN DISPLAY SOULTION 640X480
  3. 所属分类:Embeded Linux

    • 发布日期:2017-11-17
    • 文件大小:4048
    • 提供者:张名
  1. led-display

    0下载:
  2. LED显示,温度传感器的使用,其中还有编程时需要注意的问题-LED display
  3. 所属分类:software engineering

    • 发布日期:2017-12-02
    • 文件大小:70066
    • 提供者:张伟
  1. matrix-display

    0下载:
  2. 单片机at89s528X8点阵显示数字0-9-8X8 matrix display 0-9
  3. 所属分类:SCM

    • 发布日期:2017-11-15
    • 文件大小:12573
    • 提供者:杨勇
  1. achieve-dynamic-display-image

    0下载:
  2. 如何实现动态显示图像,如何实现动态显示图像-How to achieve dynamic display image
  3. 所属分类:Special Effects

    • 发布日期:2017-11-14
    • 文件大小:362000
    • 提供者:shang
  1. display-the-image-in-black-and-white

    0下载:
  2. 如何以黑白方式显示图像,最基本的图形图像处理方式,适合初学-How to display the image in black and white
  3. 所属分类:Special Effects

    • 发布日期:2017-11-02
    • 文件大小:360042
    • 提供者:shang
  1. rotate-the-display-at-any-angle

    0下载:
  2. 如何以任意角度旋转显示图像,有用的图形图像处理,适合学习-Image, useful graphics, image processing, for learning how to rotate the display at any angle
  3. 所属分类:Special Effects

    • 发布日期:2017-11-06
    • 文件大小:215290
    • 提供者:shang
  1. seven-segment-LED-display

    0下载:
  2. VHDL实验:七段LED显示电路设计。VHDL语言编写-VHDL experiment: seven-segment LED display circuit design. VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:178160
    • 提供者:奚传立
  1. Dot-Array-Display-Program

    0下载:
  2. 滚动显示汉字,可选择显示模式,每屏显示4个字。-Scrolling display of Chinese characters, selectable display mode, each screen displays four words.
  3. 所属分类:SCM

    • 发布日期:2017-12-07
    • 文件大小:1693
    • 提供者:王山
  1. Max7221-dynamic-display

    0下载:
  2. 单片机proteus仿真,max7221动态显示实验,包括源码,工程,hex文件-MCU proteus simulation, max7221 dynamic display experiment, including source code, engineering, hex file
  3. 所属分类:SCM

    • 发布日期:2017-11-21
    • 文件大小:68110
    • 提供者:张志强
  1. MFC-image-display-and-processing

    0下载:
  2. 介绍利用MFC的Picture控件显示和处理图像的文档-Picture Controls using MFC image display and processing
  3. 所属分类:GUI Develop

    • 发布日期:2017-11-05
    • 文件大小:208314
    • 提供者:shang
  1. display-0-F---for-HJ-52

    0下载:
  2. STC12C5A60S2+HJ-C52板,8位数码管同时显示0-F-STC12C5A60S2+HJ-C52 board,display 0-F at the same time on both of the 8 SEGs.
  3. 所属分类:SCM

    • 发布日期:2017-11-08
    • 文件大小:70632
    • 提供者:Techie
  1. Chinese-characters-display-12864

    0下载:
  2. 郭天祥C51开发板带汉字库12864显示-guo tian xiang Chinese characters display 12864.zip
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-03-09
    • 文件大小:35463
    • 提供者:chenlipeng
« 1 2 ... 43 44 45 46 47 4849 50 »
搜珍网 www.dssz.com