CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA 频率计

搜索资源列表

  1. pinlvji

    0下载:
  2. 基于FPGA的数字频率计,超大范围测量,误差非常之小,内含详细程序-FPGA-based digital frequency meter super scope of measurement, the error is very small, containing detailed procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:45436
    • 提供者:刘嵘
  1. FPGA_27eg

    1下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1279333
    • 提供者:
  1. DJDPLV_LWB

    0下载:
  2. 利用超高速硬件描述语言(VHDL)在现场可编程逻辑门阵列(FPGA)上编程实现的纯数字式等精度频率计,不但具有较高的测量精度,而且其测量精度不会随着被测信号频率的降低而下降。为了实现对任意信号进行频率测量,在前端输入加整形电路即可。-use ultra-high-speed Hardware Descr iption Language (VHDL) in field programmable logic gate array (FPGA) series The way to achieve su
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:30945
    • 提供者:刘刚
  1. Freq_counter

    0下载:
  2. 本代码介绍了使用VHDL开发FPGA的一般流程,最终采用了一种基于FPGA的数字频率的实现方法。该设计采用硬件描述语言VHDL,在软件开发平台ISE上完成,可以在较高速时钟频率(100MHz)下正常工作。该设计的频率计能准确的测量频率在1Hz到100MHz之间的信号。使用ModelSim仿真软件对VHDL程序做了仿真,并完成了综合布局布线,最终下载到芯片Spartan-II上取得良好测试效果。-the code on the FPGA using VHDL development of the
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:514889
    • 提供者:许的开
  1. cepinji

    0下载:
  2. 用VHDL 语言描述频率计的设计,其开发均在FPGA中-using VHDL descr iption of the design frequency, the development is in FPGA
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1678108
    • 提供者:侯同
  1. pinlvji

    0下载:
  2. 这是一个基于FPGA的频率计和相位计的设计方案-This is an FPGA-based Cymometer and design phase of the program
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:675652
    • 提供者:zhaoyang
  1. fpga

    0下载:
  2. 这是一个利用verilog HDL语言编写的自动频率计设计项目,能运行-This is a verilog HDL language automatic frequency meter design projects, and be able to run
  3. 所属分类:Software Testing

    • 发布日期:2017-11-30
    • 文件大小:1139124
    • 提供者:徐睿
  1. FPGA

    0下载:
  2. 基于FPGA的数字频率计,实现频率测量,测量范围从1hz到10m-FPGA-based digital frequency meter, to achieve frequency measurement, measuring range from 1hz to 10m
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-07
    • 文件大小:45614
    • 提供者:郑勇勇
  1. FPGA

    0下载:
  2. 基于FPGA的数字频率计的课程设计,附完整代码。-FPGA-based digital frequency meter course design, with complete code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:230596
    • 提供者:姚华
  1. FPGA-frequency

    0下载:
  2. 本设计基于FPGA设计等精度频率计,并采用NIOS II控制液晶显示器显示测量频率。-The design is based on FPGA design precision frequency meter, and using NIOS II controlled LCD display shows the measured frequency.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:39976
    • 提供者:lucy
  1. FPGA-high-precision-frequency-meter

    0下载:
  2. 基于FPGA的高精度频率计设计实验 展示数字存储示波器基本工作原理。 展示硬件测频和测周的基本原理。 在现有综合实践平台上开发DSO硬件频率计模块的方案及流程。 结合数据采集、存储和触发模块的FPGA代码。 FPGA代码完善DSO的频率计模块,实现高精度测频和测周功能。-FPGA-based high-precision frequency meter design experiments       Demonstrate the bas
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-02
    • 文件大小:14547723
    • 提供者:liu
  1. plj.FPGA

    0下载:
  2. 本频率计基于CPLD/FPGA实现。 50MHZ标准频率为CPLD内部时钟信号,被测方波为信号发生器产生的方波信号,显示电路由TTL芯片及七段数码管组成的电路,自校正输出由CPLD输出已知频率的测试方波信号,可将其输入至测试端口,进行系统精度校正。 -The frequency meter based on CPLD/FPGA implementation. 50MHZ standard CPLD internal clock signal frequency, square-wave test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9276227
    • 提供者:刘波
  1. FPGAPLJ

    0下载:
  2. FPGA频率计程序,实现高频率的频率计数,采用LCD1602进行显示,对需要采用频率精度较高的有较大帮助。-FPGA frequency meter program, to achieve high a frequency count using LCD1602 display, to require the use of high frequency accuracy greatly help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:11372
    • 提供者:黄兴
  1. FPGA-51

    0下载:
  2. 实现频率计的功能,频率测量范围是100HZ到10M.采用fpag与单片机结合。-FPGA and MCU combination to achieve frequency meter function, it can count on the accuracy of frequency, and to achieve the corresponding function, can complete technology and achieve frequency.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:59861
    • 提供者:黄兴
  1. fdiv0_256_14

    0下载:
  2. 利用Verilog HDL制作一个数控频率计,0~256可控(Use Verilog HDL to make a CNC frequency meter, 0~256 controllable)
  3. 所属分类:其他

  1. pinlvji_32

    0下载:
  2. FPGA产生不同的频率值,STM32与FPGA通信,实现频率值的显示(Communicate with FPGA to realize the display of frequency value)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-25
    • 文件大小:3098624
    • 提供者:杨兴业
  1. counter_frequency - 副本

    0下载:
  2. FPGA制作频率计并且通过串口连接并进行显示(FPGA drive frequency meter and display with serial port)
  3. 所属分类:其他

    • 发布日期:2017-12-26
    • 文件大小:5151744
    • 提供者:夜久
  1. dengjingdu

    0下载:
  2. 等精度测量,频率越高精度越高,每一秒取一次数,然后经过乘除法实现,FPGA功能前更大的。还用到STM32 SPI通信(The accuracy of measurement, the higher the frequency accuracy is high, every second to take a number, then after multiplication and division, FPGA function more. STM32 SPI communications are
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:40284160
    • 提供者:dasdsadas
  1. div1_feng

    1下载:
  2. 用verilog实现除法的功能,其中可以实现整数的除法,并有小数的表示。(verilog divider function ise fpga frequency)
  3. 所属分类:文章/文档

    • 发布日期:2017-12-30
    • 文件大小:2193408
    • 提供者:瀛洲
  1. kese0

    0下载:
  2. 频率计等精度测量测频测周期测相位 运用Verilog语句 在FPGA(asdsssfdfsdffjtfjtjrtrt)
  3. 所属分类:文章/文档

    • 发布日期:2018-01-03
    • 文件大小:13240320
    • 提供者:Cupids
« 1 2 3 45 6 7 8 9 10 »
搜珍网 www.dssz.com