CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA Verilog DDS

搜索资源列表

  1. 用FPGA实现DDS信号发生及用MODELSIM仿真

    2下载:
  2. 该工程是用verilog编写,FPGA内部产生ROM及ADD加法器。ROM中存正弦波信号。文件夹中还包含modelsim仿真。
  3. 所属分类:VHDL编程

    • 发布日期:2011-03-21
    • 文件大小:2527046
    • 提供者:zhengguo22
  1. 基于FPGA的直接数字频率合成器(DDS)设计

    1下载:
  2. 基于FPGA的直接数字频率合成器(DDS)设计 (源程序),FPGA-based direct digital synthesizer (DDS) design (source code)
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:236673
    • 提供者:jacky
  1. dds

    0下载:
  2. 基于FPGA的双路可移相任意波形发生器 Altera中国大学生电子设计文章竞赛获奖作品刊登-FPGA-based dual phase shifter can be arbitrary waveform generator Altera China Undergraduate Electronic Design Contest winning entries published articles
  3. 所属分类:software engineering

    • 发布日期:2017-04-10
    • 文件大小:1696262
    • 提供者:姜兆刚
  1. DDS

    0下载:
  2. 基于DDS技术的函数波形发生器设计,适合用fpga设计波形发生器用-Based on DDS technology function waveform generator design, suitable for FPGA design with Waveform Generator
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1682936
    • 提供者:
  1. dds

    0下载:
  2. dds 驱动 ad9851 fpga vhdl-ad9851 dds ad9851 fpga vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1544097
    • 提供者:ZHANGLONG
  1. MyDDS

    0下载:
  2. 利用查找表法编写的DDS的verilog程序,节省了利用IP核实现需要的资源,软件为ISE,-Prepared using look-up table method of verilog DDS program, save the use of IP core implementation requires resources, software for the ISE,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2892046
    • 提供者:蜡笔
  1. DDS

    0下载:
  2. 在FPGA中实现频率源的设计,使用硬件描述语言加以实现。-design DDS with verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:125947
    • 提供者:lin
  1. DDS

    0下载:
  2. 关于用FPGA制作的DDS源代码。用的是verilog语言,用的是xlinx的软件-Produced with the DDS on FPGA source code. Using verilog language, using xlinx software
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4672
    • 提供者:张君
  1. FPGA-DDS-algorithm

    0下载:
  2. 采用FPGA的DDS算法Verilog程序的实现-FPGA DDS algorithm Verilog program implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-05
    • 文件大小:1982914
    • 提供者:wang
  1. DDS

    0下载:
  2. FPGA产生DDS,未使用IP核,内含VERILOG程序-FPGA generates DDS, unused IP core, containing VERILOG program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:586014
    • 提供者:bodao
  1. dds

    0下载:
  2. 在altera的FPGA上实现直接数字频率合成,即用verilog实现DDS,输出正弦波形,在modelsim软件中仿真通过,已包含所有代码和工程以及二进制流文件。-The realization of direct digital frequency synthesis in the Altera FPGA, which is implemented by Verilog DDS, the output sine wave, through the simulation in Modelsim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:694210
    • 提供者:汪少锋
  1. DDS

    1下载:
  2. Verilog HDL实现FPGA的DDS功能,含有实验原理与代码程序-FPGA Verilog HDL realize the DDS function, principles and codes containing experimental procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:893995
    • 提供者:秦天沐
  1. DDS

    0下载:
  2. 基于fpga的DDS详细设计方案 verilog语言 正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。-Direct Digital Synthesizer base on fpga use verilog Sine calculator to calculate the value of the digital phase sine wave amplitu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:5949576
    • 提供者:网窝囊
  1. DDS

    0下载:
  2. verilog编写,使用fpga中dds手法,可以输出任意波形的发生信号。-verilog write, use the dds fpga way, you can output an arbitrary waveform signal occurs.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:507229
    • 提供者:李俊
  1. DDS

    0下载:
  2. FPGA基于FPGA的DDS设计verilog程序-FPGA DDS project verilog procedure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:10512
    • 提供者:吴汉
  1. DDS

    0下载:
  2. 基于FPGA,Verilog语言编写的DDS信号发生器,可生成方波、正玄波,三角波。-Based FPGA, Verilog language DDS signal generator that generates a square wave, sine wave, triangle wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:131453
    • 提供者:梁世强
  1. DDS波形发生器

    0下载:
  2. DDS波形生成器verilog语言书写(FPGA型号cy4以上)(DDS generate verilog)
  3. 所属分类:VHDL/FPGA/Verilog

  1. DDS

    1下载:
  2. 描述了verilog实现的DDS信号发生器,可以经过FPGA验证,包括了代码实现以及书写。代码可以经过altera的EDA工具进行了验证,可以实现信号发生器的基本功能。希望大家珍惜,并好好学习。(Describes the Verilog implementation of the DDS signal generator, which can be verified by FPGA, including code implementation and writing. Code can be
  3. 所属分类:其他

    • 发布日期:2017-12-28
    • 文件大小:104448
    • 提供者:西门电工
  1. signal

    0下载:
  2. 简易频谱仪 256位 采用了直接数字频率合成技术(DDS)和计算机控制技术,选择美国Analog Devices公司的高度集成DDS芯片AD9851和AT89S52单片机作为控制器件,设计了一种基于DDS的程控信号发生器。用C语言进行了软件应用设计。实验结果表明,该信号发生器能较好地产生较高稳定度的激励信号,具有较高的实用价值。(Simple spectrum meter 256 bit)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:7323648
    • 提供者:luke28
  1. verilog实现dds

    1下载:
  2. 基于FPGA实现信号发生器的的功能,较好的参考资料。(The function of signal generator is realized based on FPGA, which is a good reference.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-09-28
    • 文件大小:2594816
    • 提供者:sudochang
« 1 23 4 »
搜珍网 www.dssz.com