CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - M序列

搜索资源列表

  1. LFSR

    0下载:
  2. 基于LFSR生成m序列的图像隐藏算法,利用线性插值的方法将经过异或的的图像隐藏在同样大小的一幅载体图像中-Based on LFSR generates m-series images hiding algorithm, using linear interpolation method will be through the exclusive or of the hidden images of the same size of a vector image
  3. 所属分类:Special Effects

    • 发布日期:2017-03-25
    • 文件大小:47998
    • 提供者:xlmm
  1. mxuliejiarao

    0下载:
  2. 产生一m序列,其特征多相式:1+x^3+x^5,加扰序列c(k) 满足c(k)=a(k)+c(k-3)+c(k-5),对m序列进行加解扰-Create a m sequence is characterized by multi-phase type: 1+ x ^ 3+ x ^ 5, scrambling sequence c (k) to meet the c (k) = a (k)+ c (k-3)+ c (k-5), the m sequence Deinterference
  3. 所属分类:matlab

    • 发布日期:2017-03-31
    • 文件大小:542
    • 提供者:xlmm
  1. Interwoven

    0下载:
  2. 搜寻最短延时的交织m序列伪码,可选择m序列的长度,自动产生生成多项式-Search for the shortest delay interwoven m PN sequence can choose the length of m sequence, automatically generating polynomial
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:2739
    • 提供者:tq
  1. m-matlab

    0下载:
  2. 序列卷积的matlab源码,包括卷积结果输出等-Sequence convolution matlab source code, including the convolution results of the output, etc.
  3. 所属分类:matlab

    • 发布日期:2017-03-24
    • 文件大小:707
    • 提供者:guan
  1. m_code

    0下载:
  2. 1.产生阶数为n的m序列。 2.测试阶数为n的m序列是否满足m序列性质。(与相应的m序列生成程序配合使用。实现检测效果)-1. Generate the order number n, m sequence. 2. Testing the order of n-m-sequence satisfies the nature of m sequences. (With the corresponding m-sequence generation program used in conjunc
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:1634
    • 提供者:annie
  1. asdfasdf

    0下载:
  2. M伪随机序列生成器算法 这个Matlab算法用于生成二元、三元及五级的,m序列。可以用于直接扩频通信等 系统中的,伪随机序列生成器。-M pseudo-random sequence generator algorithm for the Matlab algorithm is used to generate binary, ternary, and five of the, m sequence. Can be used to direct spread spectrum commu
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-30
    • 文件大小:3681
    • 提供者:adu
  1. gen_m_Seq

    0下载:
  2. 利用线性移位寄存器的线性关系,输出一个m序列-get m sequence by the relationship of the Line Feedback Shift Register
  3. 所属分类:matlab

    • 发布日期:2017-04-06
    • 文件大小:610
    • 提供者:黄英
  1. 123

    0下载:
  2. 判断特征多项式是否可生成 m 序列,并建模验证-The characteristic polynomial can be generated to determine whether the m sequence, and model validation
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:3361
    • 提供者:zc
  1. 124

    0下载:
  2. 计算特征多项式的 m 序列的自相关系数。-Calculate the characteristic polynomial of the m sequence of autocorrelation coefficients.
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:556
    • 提供者:zc
  1. matlabsystemindetfition

    0下载:
  2. matlab系统辨识,m序列的产生,逆m序列的产生,白噪声的产生-matlab system identification, m sequence generation, reverse m sequence generation, white noise generation
  3. 所属分类:File Formats

    • 发布日期:2017-04-17
    • 文件大小:331987
    • 提供者:彬然
  1. Mxulie

    0下载:
  2. matlab,vc++产生M序列,应用于系统辨识-matlab, vc++ generated M sequence, used in system identification
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-03-30
    • 文件大小:86438
    • 提供者:lmq
  1. m_sequence

    0下载:
  2. 根据任意多项式生成m序列,就是伪随机序列了,扩频和跳频通信常用-m-sequence generator
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-27
    • 文件大小:1587
    • 提供者:chenyang
  1. m_serial

    0下载:
  2. 本实验利用单片机实现m序列的输出,其移位寄存器的长度为3 - 8可循环调节。单片机板上按键KEY1实现级数n的循环自加,按键KEY2实现级数n的循环自减,输出口P1^4输出m序列周期同步信号,输出口P1^6输出相应的m序列。-In this study, the use of single-chip microcomputer m sequence of output, the length of the shift register 3- 8 can be recycled adjustabl
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:2712
    • 提供者:weiwei
  1. chengxu

    0下载:
  2. 本程序可以在quartusII软件仿真下生成m序列和NCO数字振荡发生器,可以后续按个人要求实现通信加密,或传输信号。-This program can generate quartusII software simulation m sequence and NCO digital oscillation generator, you can follow-up according to their individual requirements for communication encry
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-10
    • 文件大小:1484
    • 提供者:刘非
  1. VHDlsheji

    0下载:
  2. 本文介绍了一种使用VHDL 设计多波形m 序列 发生器的原理与实现方法。-This paper presents a VHDL design using multi-waveform m sequence generator principle and realization method.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:177085
    • 提供者:刘非
  1. m_sequence

    0下载:
  2. 通过通信系统频率合成原理,生成伪随机的m序列-Principles of communication systems through the frequency synthesizer to generate pseudo-random m-sequence
  3. 所属分类:matlab

    • 发布日期:2017-04-03
    • 文件大小:875
    • 提供者:Siyi Liu
  1. mvhdl

    0下载:
  2. 此文件中包含m序列发生器详细的vhdl源码,欢迎各位下载-it is a file of m porducor based on vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:804
    • 提供者:吴铭宇
  1. mseq

    0下载:
  2. 直接序列扩频系统中m序列产生的程序代码,此程序清楚明白-Direct Sequence Spread Spectrum System m sequences generated code, this procedure clear
  3. 所属分类:matlab

    • 发布日期:2017-03-27
    • 文件大小:538
    • 提供者:王桂杰
  1. msqlsid

    0下载:
  2. 利用M序列实现系统的结构辨识和参数辨识,有些很好的辨识效果-Use of M sequence to achieve the system' s structure identification and parameter identification, and some very good recognition results
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:972
    • 提供者:海魄
  1. Mxulie

    0下载:
  2. C++下实现M序列的程序,用于验证和调试!-C++ program to achieve M sequence, for verification and debugging!
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-28
    • 文件大小:1150
    • 提供者:周志磊
« 1 2 ... 13 14 15 16 17 1819 20 21 22 23 ... 50 »
搜珍网 www.dssz.com