CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - PLJ

搜索资源列表

  1. plj

    0下载:
  2. 一个频率计: LCD1602显示 在不增加外部计数硬件的情况下,本试验软件可测最高频率达到460KHz
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:3734
    • 提供者:xieyping
  1. 数字频率计VHDL程序与仿真

    0下载:
  2. 数字频率计VHDL程序与仿真 文件名:plj.vhd。 --功能:频率计。具有4位显示,能自动根据7位十进制计数的结果,自动选择有效数据的 --高4位进行动态显示。小数点表示是千位,即KHz。 ,Digital Cymometer VHDL procedures and simulation of the file name: plj.vhd.- Function: frequency meter. With four shows that will automatically coun
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:100190
    • 提供者:小草
  1. plj

    0下载:
  2. 数字频率计 FPGA 用verilog语言编写-Digital Cymometer verilog language used FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:294598
    • 提供者:guhaitao
  1. plj

    0下载:
  2. 等精度频率计的设计AT89C51,用单片机做的频率计,不错。-Such as the design of precision frequency meter AT89C51, to do with the frequency of single-chip, yes.
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:76868
    • 提供者:conghaisheng
  1. plj

    0下载:
  2. 基于VHDL的简易数字频率计,具体功能不清楚请大家验证! -Simple VHDL-based digital frequency meter, the specific function is not clear please verify!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1698
    • 提供者:Lee
  1. frequence_VHDL

    0下载:
  2. 采用等精度测频原理的频率计的程序与仿真 --文件名:PLJ.vhd。 --功能:4位显示的等精度频率计。 -Such as the accuracy of frequency measurement using the principle of the frequency of the procedure and simulation- the file name: PLJ.vhd.- Function: 4 shows, such as precision frequency me
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:123600
    • 提供者:huangsong
  1. plj

    0下载:
  2. 此代码的为at89c51的汇编语言,其作用是用于计算信号的频率-At89c51 this code for the assembly language, its role is used to calculate the frequency of signal
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:5006
    • 提供者:毛小
  1. plj

    0下载:
  2. 此为基于单片机的频率计设计。利用汇编语言实现了采样频率,用LED数码管输出频率数值等功能。-This is based on the frequency of single-chip design. The use of assembly language to achieve a sampling frequency, with LED digital tube output frequency numerical functions.
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:646
    • 提供者:song
  1. plj

    0下载:
  2. 用元件裂化实现二位十进制频率计,用quartus ii实现程序的应用-Cracking components to achieve with two decimal frequency meter, quartus ii used to achieve the application of procedures
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:640652
    • 提供者:rita
  1. plj

    0下载:
  2. 本程序是基于51单片机的频率测量,用周期发测量,精度高-This procedure is based on measuring the frequency of single-chip microcomputer 51, with hair-cycle measurement, high precision
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:1172
    • 提供者:陈忠富
  1. plj

    0下载:
  2. 基于51的频率计,数码管显示,程序通俗易懂,对单片机学习者有一定的帮助-51 Based on the frequency meter, digital display, user-friendly procedures for single-chip to help learners have a certain
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-04
    • 文件大小:12747
    • 提供者:小试
  1. plj

    0下载:
  2. 基于单片机的频率计设计,对于正在做课程设计的同学会很有帮助哦-Based on the frequency of single-chip design, the courses are designed so students would be helpful oh
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-06
    • 文件大小:295825
    • 提供者:120388579
  1. 1602LCD

    0下载:
  2. 1602LCD显示的频率计,ME500B实验板上可直接运行-1602 PLJ
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:18964
    • 提供者:gsmboy
  1. avrplj

    0下载:
  2. M16单片机做的频率计希望跟大家共同交流下-plj
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-06
    • 文件大小:44452
    • 提供者:王平衡
  1. plj

    0下载:
  2. 基于单片机的电子钟六位数码管显示,精度较高-Based on MCU clock six digital tube display, high precision
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:15988
    • 提供者:郑井尚
  1. plj

    0下载:
  2. 等精度频率计,本科在校期间做的一个实验,给大家分享-And other precision frequency meter, undergraduate in school to do an experiment for everyone to share
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:917
    • 提供者:Nemo
  1. plj

    0下载:
  2. 基于cpld 频率计程序,测量频率范围1Hz到99999Hz -On cpld frequency counter program, measurement frequency range of 1Hz to 99999Hz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:152752
    • 提供者:心蓝海
  1. plj

    0下载:
  2. 这是一个频率计的源代码,用的是VHDL语言设计的,能够测量0-20KHZ的频率!-This is a frequency meter of the source code, using the VHDL language design, can measure 0-20KHZ frequency!
  3. 所属分类:assembly language

    • 发布日期:2017-05-16
    • 文件大小:4586450
    • 提供者:biao
  1. plj

    0下载:
  2. 基于c51单片机能测1hz-100hz的频率,并能在数码管上显示-SCM can be measured based on c51 1hz-100hz frequency, and can appear in the digital control
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:177507
    • 提供者:刘文
  1. PLJ

    0下载:
  2. 测试10-260K频率计源程序,精度0.1Hz,电路简单-Test 10-260K frequency meter source, accuracy 0.1Hz, simple circuit
  3. 所属分类:uCOS

    • 发布日期:2017-03-29
    • 文件大小:1420
    • 提供者:lhj
« 1 23 4 »
搜珍网 www.dssz.com