CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - PLL Verilog

搜索资源列表

  1. test_pll

    0下载:
  2. 使用modelsim se6.5d仿真altpll锁相环 完整工程,verilog代码,因为没找到选的是vhdl-simulation pll with modelsim se6.5d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:2276350
    • 提供者:杨毅
  1. vga256_success

    0下载:
  2. Verilog HDL语言编写的256色VGA显示程序,引脚分配适用于21EDA的EP2C8Q208开发板 程序中的PLL分频子模块为我上传的另一代码:PLL_50MHz_to_25MHz.rar-Verilog HDL language, 256-color VGA display program, pin assignment for the 21EDA the EP2C8Q208 development board programs. The PLL frequency sub-mod
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:736771
    • 提供者:LM
  1. VerilogEP2C8Q208PLL_12MHz

    0下载:
  2. Verilog HDL语言编写EP2C8Q208芯片PLL分频的简单程序 PLL_12MHz-Verilog HDL language EP2C8Q208 chip PLL frequency of the simple program PLL_12MHz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:54348
    • 提供者:malikun
  1. pll_module

    0下载:
  2. 基于verilog的 FPGA内部PLL模块设计-Based on verilog FPGA PLL design internal modules
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:340353
    • 提供者:wlb
  1. m.e-lab

    0下载:
  2. vhdl verilog code for alu operation pll,biy sliced processor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:6129
    • 提供者:suganya
  1. zl30160_pll_config_interface_model

    0下载:
  2. zl30160锁相环逻辑配置接口模块,本模块用verilog代码编写,已经过严格的电路板上的实际测试-zl30160 pll configer interface
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:26111
    • 提供者:yaofan
  1. PLL_12MHz

    0下载:
  2. 用verilog语言制作一个PLL,这个PLL可以将频率除频到12MHZ,将PLL除频成12MHZ输出-Verilog language production with a PLL, the PLL frequency divider can be to 12MHZ, 12MHZ into the PLL output divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:55747
    • 提供者:郑先生
  1. sdram

    0下载:
  2. 在ISE环境中,利用verilog语言编写的SDRAM的控制,已经通过功能仿真,其中PLL部分并没有加入,使用时可以自行加入PLL模块。-Verilog language in the ISE environment, the use of SDRAM control, through functional simulation, which the PLL part and did not join, can join the PLL blocks.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:18444
    • 提供者:蔡青青
  1. timecontrol

    0下载:
  2. verilog 语言实现巴克码和写串行数据,对PLL进行配置。-using verilog to generate bakema and write series datas for PLL conifgure.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1500
    • 提供者:D.eason
  1. Phase1111_Tracking

    2下载:
  2. 使用Verilog编写的相位跟踪器,可以有效解决锁相环中的相位跟踪问题,ISE12.2下编译通过-Written in Verilog phase tracker can effectively resolve the PLL phase tracking, ISE12.2 compiled by
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-07
    • 文件大小:334752
    • 提供者:洪依
  1. Pro_19

    0下载:
  2. Fpga,DDS,PLL,rom(正弦波)(f<13MHz,需要滤波)(Verilog)-Fpga, DDS, PLL, rom
  3. 所属分类:Other systems

    • 发布日期:2017-11-21
    • 文件大小:630526
    • 提供者:夏九星
  1. ex3

    0下载:
  2. pll ip核结合七段码 verilog源代码-the pll ip core binding seven-segment code verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:956944
    • 提供者:wangxl
  1. ft2232h_rollback

    1下载:
  2. FT2232H芯片usb循环读写 verilog 实现, 使用时pll可注释掉-FT2232H the chips usb cycle read and write verilog achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1024
    • 提供者:123
  1. test_pll_2

    0下载:
  2. 锁相环的verilog源代码,其中包括发送端,鉴相器,滤波器,压控振荡器的源代码,主要实现输入输出信号的跟踪,捕获和锁定,使输入输出信号在较短时间内达到同步。-This is a verilog code for PLL, including transmitor, PDF, Filter, VCO and so on. It mainly realize the input and output signal tracking, capture and lock, make the in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:131987
    • 提供者:HQ
  1. PLL_success

    0下载:
  2. 数字锁相环,曼彻斯特的产生与解码,verilog hdl-Digital PLL, Manchester generation and decoding, verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7787126
    • 提供者:www
  1. pulse_generation

    0下载:
  2. 一个小的激光驱动电路,调用PLL锁相环,可以产生不同脉宽,实现占空比可调-Pulse generation, Verilog written. Though simple, but I hope you will help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:5091898
    • 提供者:吕龙舟
  1. Phase-Locked-Loop

    0下载:
  2. PLL CODE IN VERILOG DESIGN
  3. 所属分类:VHDL-FPGA-Verilog

  1. frequency

    0下载:
  2. 时钟信号的各种分频、倍频实现,利用PLL实现及Verilog HDL语言。-The application of different frequency
  3. 所属分类:DSP program

    • 发布日期:2017-04-29
    • 文件大小:60111
    • 提供者:陈沐沐
  1. dpll3

    0下载:
  2. 数字锁相环 VERILOG语言编写的基于FPGA平台的PLL程序-VERILOG language based on the FPGA platform PLL program
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-29
    • 文件大小:202903
    • 提供者:伊尔
  1. test_verilog---Copie

    0下载:
  2. a verilog-ams code for a p-a verilog-ams code for a pll
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:692
    • 提供者:oussama
« 1 2 3 45 »
搜珍网 www.dssz.com