CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - SIGMA

搜索资源列表

  1. SIGMA_DELTA

    0下载:
  2. Code of Sigma Delta in MSP430. Simple code for Multiple bytes Transfer. Part of Sample Program
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-26
    • 文件大小:2825
    • 提供者:can
  1. 16-bit-sigmadelta

    1下载:
  2. 针对数字音频领域16 bit精度、20 kHz带宽的设计要求,以0.18 Ixm CMOS工艺设计二阶单环的一位sigma.delta调制器,-16 bit digital audio field for accuracy, 20 kHz bandwidth design requirements to 0.18 Ixm CMOS process design, a second-order single-loop sigma. delta modulator,
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:177418
    • 提供者:weijianjun
  1. myperT4

    0下载:
  2. constructive role of noise in signal detection from parallel arrays of quantizers.-A noisy input signal is observed by means of a parallel array of one-bit threshold quantizers,in which all the quantizer outputs are added to produce the array output.
  3. 所属分类:matlab

    • 发布日期:2017-04-05
    • 文件大小:961
    • 提供者:shasha
  1. ATT7122

    0下载:
  2. ATT7122A 是一颗兼容ATT7022B/ATT7022C/ATT7022D 的高精度三相电能专用计量芯 片,适用于三相三线和三相四线应用。 ATT7122A 集成了7 路二阶sigma-delta ADC、参考电压电路以及所有功率、能量、有效 值、功率因数及频率测量的数字信号处理等电路,能够测量各相以及合相的有功功率、无功 功率、视在功率、有功能量及无功能量,同时还能测量各相电流、电压有效值、功率因数、 相角、频率等参数,充分满足三相复费率多功能电能表的需求。详细数据定
  3. 所属分类:File Formats

    • 发布日期:2017-04-02
    • 文件大小:534313
    • 提供者:王修全
  1. ATT7022Eusr

    0下载:
  2. ATT7122A 是一颗兼容ATT7022B/ATT7022C/ATT7022D 的高精度三相电能专用计量芯片,适用于三相三线和三相四线应用。ATT7122A 集成了7 路二阶sigma-delta ADC、参考电压电路以及所有功率、能量、有效值、功率因数及频率测量的数字信号处理等电路,能够测量各相以及合相的有功功率、无功 功率、视在功率、有功能量及无功能量,同时还能测量各相电流、电压有效值、功率因数、 相角、频率等参数,充分满足三相复费率多功能电能表的需求。详细数据定义请参阅参数寄
  3. 所属分类:File Formats

    • 发布日期:2017-03-26
    • 文件大小:336400
    • 提供者:王修全
  1. 6sigma

    0下载:
  2. 六西格玛管理在我国企业还处于摸索阶段,中国企业推行六西格玛可谓任重道远。国内真正搞六西格玛的企业少之又少,大多是在追求新概念,仅仅是舔一舔,尝试新的模式,缺乏真正吞下去并进行消化的勇气和决心,很多企业只是在利用一点六西格玛的某些工具,没有做系统的六西格玛培训和实施,更谈不上战略性规划。  -Six Sigma in our company is still in the exploratory stage, the Chinese enterprises in implementing Si
  3. 所属分类:Development Research

    • 发布日期:2017-05-12
    • 文件大小:2977075
    • 提供者:sigma
  1. sigma_grid_release

    0下载:
  2. 表格控件,可以用于 PHP, ASP.NET, ASP, JSP, XML, Flash开发应用,有比较完整的示例。-Form controls can be used for PHP, ASP.NET, ASP, JSP, XML, Flash development and application, a more complete example.
  3. 所属分类:FlashMX/Flex

    • 发布日期:2017-05-12
    • 文件大小:2574640
    • 提供者:郭冬磊
  1. sigmal-point-kalman-filters-in-DSSM

    0下载:
  2. 基于sigma-point的卡尔曼滤波法,用于动态状态空间模型,包括UKF与CDKF,是国外的博士论文,文中给出了各算法的仿真代码-Based on sigma-point Kalman filtering for dynamic state space models, including the UKF and CDKF, the foreign doctoral dissertation, which gives the algorithm of the simulation code
  3. 所属分类:Special Effects

    • 发布日期:2017-05-19
    • 文件大小:5151489
    • 提供者:夜思明
  1. Matlab-codes-for-FDTD

    1下载:
  2. Matlab源码,主要采用时域有限差分(FDTD)法实现1D、2D的电磁仿真。-This directory contains MATLAB files for 1D simulations of electromagnetic fields. Included are wave propagation in free space, in dissipative media (sigma nonzero), and in dispersive media (debye medium
  3. 所属分类:matlab例程

    • 发布日期:2017-04-14
    • 文件大小:488543
    • 提供者:刘东
  1. sigmaDeltaMM

    0下载:
  2. Sigma Delta Domain Processing. Bitstream processing.-Sigma Delta Domain Processing. Bitstream processing.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:278183
    • 提供者:wazerface
  1. Desktop

    0下载:
  2. time, the function returns the order Jump to respond to the first (positive) wave peak b1, step response of the second (positive) wave peak b2, step response overshoot sigma, step response of the attenuation ratio of n, the step response of the atte
  3. 所属分类:matlab

    • 发布日期:2017-04-25
    • 文件大小:10688
    • 提供者:Eric Winfree
  1. Design-code-ADC

    0下载:
  2. Sigma-Delta ADC是一种目前使用最为普遍的高精度ADC结构,在精度达到16位以上的场合,Sigma-Delta是必选的结构。-real good material for adc design.
  3. 所属分类:Project Design

    • 发布日期:2017-03-31
    • 文件大小:570483
    • 提供者:linm
  1. ReBEL-0.2.7

    0下载:
  2. 包括kf,ekf,pf,upf可以自己定制模型参数,完成滤波-ReBEL currently contains most of the following functional units which can be used for state-, parameter- and joint-estimation: Kalman filter Extended Kalman filter Sigma-Point Kalman filters (SPKF) Unscented
  3. 所属分类:matlab

    • 发布日期:2017-04-09
    • 文件大小:1609052
    • 提供者:zhangsimin
  1. Project-5

    0下载:
  2. Improving the Performance of Sigma-Delta Modulators Using Iterative Methods
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-04
    • 文件大小:478266
    • 提供者:sina
  1. Six-sigma-methodology

    0下载:
  2. using in the image processing
  3. 所属分类:Special Effects

    • 发布日期:2017-04-17
    • 文件大小:18555
    • 提供者:alilolo
  1. ADrefernce

    0下载:
  2. ADI拥有一系列种类齐全的高分辨率低带宽的Sigma-Delta ADC 产品,这些产品不仅集成了ADC,还集成了电流源、多路开关、可编程增益放大器PGA,模拟输入缓冲等等,本文档介绍了其具体应用的设计方法。-ADI has a complete range of high resolution low bandwidth Sigma-Delta ADC products, these products are not only integrates ADC, integrated the cu
  3. 所属分类:Project Design

    • 发布日期:2017-04-05
    • 文件大小:325322
    • 提供者:lwqq
  1. return_fitted_best_Gaussian

    0下载:
  2. 输入一个样本序列 输出一个最佳高斯拟合的mu和sigma-input:samples output:best fit Guass
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:1485
    • 提供者:周旭
  1. Testing_Complex_and_Embedded_Systems

    0下载:
  2. 此书讲解了嵌入式系统的开发及测试过程,对嵌入式系统的测试介绍得很详细,很有参考价值。-Many enterprises regard system-level testing as the final piece of the development effort, rather than as a tool that should be integrated throughout the development process. As a consequence, test teams oft
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-10
    • 文件大小:1570722
    • 提供者:桂爱刚
  1. vanderMerwe_GNC2004

    0下载:
  2. Sigma-Point Kalman Filters for Nonlinear Estimation and Sensor-Fusion - Applications to Integrated Navigation -
  3. 所属分类:software engineering

    • 发布日期:2017-05-06
    • 文件大小:1171159
    • 提供者:thalu
  1. simple_simulink

    0下载:
  2. simple simulink for sigma delta demonstration
  3. 所属分类:Special Effects

    • 发布日期:2017-04-15
    • 文件大小:6309
    • 提供者:mes
« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 ... 25 »
搜珍网 www.dssz.com