CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Sine

搜索资源列表

  1. sine

    0下载:
  2. 用遗传算法优化神经网络权值 最后实现逼近sin函数曲线-Neural network using genetic algorithm optimization to achieve the right of the value of the final function curve approximation sin
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-01
    • 文件大小:293925
    • 提供者:无名
  1. x

    0下载:
  2. avr mega16单片机写的函数发生器,利用定时器中断发生正弦波、三角波、锯齿波-avr mega16 MCU to write a function generator, using the timer interrupt occurs sine wave, triangle wave, sawtooth wave
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:589
    • 提供者:张伟伟
  1. Desktop

    0下载:
  2. DDS数字频率合成DDS由相位累加器、正弦查找表、D/A转换器和低通滤波器组成 -DDS DDS DDS from the phase accumulator, sine look-up tables, D/A converter and low-pass filter composed of
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-31
    • 文件大小:1256
    • 提供者:chenxiaofeng
  1. askdigital

    0下载:
  2. AsK: 幅移键控ASK (Amplitude Shift Keying) ASK指的是振幅键控方式。这种调制方式是根据信号的不同,调节正弦波的幅度。 askdigital([1 0 1 1 0 0 1 0],2) 输入-AsK: Amplitude Shift Keying ASK (Amplitude Shift Keying) ASK refers to the amplitude shift keying method. This modulation is based o
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-01
    • 文件大小:57489
    • 提供者:chenxiaofeng
  1. dpcm

    0下载:
  2. DPCM系统的简单sumlink仿真(7个量化等级,信源是正弦波)-DPCM system, a simple sumlink simulation (7 quantization levels, source is a sine wave)
  3. 所属分类:Other systems

    • 发布日期:2017-03-24
    • 文件大小:3417
    • 提供者:丁寅
  1. Expression

    0下载:
  2. 能正确显示表达式的图形,包括含有正弦,余弦,正切,余切等。能正确的识别括弧,系数等-Correctly show the expression of graphics, including statements containing the sine, cosine, tangent, cotangent and so on. Be able to correctly identify the parentheses, coefficients, etc. ...
  3. 所属分类:Graph Recognize

    • 发布日期:2017-05-15
    • 文件大小:3843212
    • 提供者:sand618
  1. design

    0下载:
  2. 产生不同频率、不同幅度的两种正弦波信号 对两个信号进行叠加并做频谱分析 还原原来两个信号(LPF)-Produce different frequency, the two sine wave signals of different magnitudes of the two signals are superimposed and do spectral analysis to restore the original two signals (LPF)
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:1248
    • 提供者:lanzl
  1. c_circle_sin

    0下载:
  2. 该源文件在Microsoft Visual C++ 6.0环境下编译通过,程序目的旨在基于文件操作fopen等函数,以及bmp编码格式技术,绘制简单的函数曲线(圆,正弦),结果保存在位图Image.bmp中,该程序还可以扩展功能,修改方程,生成自己想要的图片-The source file in Microsoft Visual C++ 6.0 environment, compiled by the program is aimed at file-based operations such
  3. 所属分类:GDI-Bitmap

    • 发布日期:2017-04-06
    • 文件大小:44269
    • 提供者:huxuec
  1. Sine-wave

    0下载:
  2. 单一频率的正弦相关法动态测试系统的测试方法简单,不需要对系统进行辨识。只需要观察被测系统输出信号的相差和幅值变化。通过扫频的方法测量在不同的频率点下的幅值和相位变化。在工程应用中,只需要知道被测系统的相位变化在正常的范围内就可以视系统的动态性能正常。该仿真算法只是建立了一个模型。在实际运用时还需要变换测试信号的频率和周期。-A single frequency sinusoidal correlation method of testing dynamic testing system is s
  3. 所属分类:matlab

    • 发布日期:2017-03-28
    • 文件大小:6404
    • 提供者:黄金峰
  1. design

    0下载:
  2. 介绍了DDS(直接数字频率合成)基本原理,提出以DDS芯片AD9850为核心、利用单片 机控制辅以必要的外围电路,构成一个输出波形稳定、精度较高的信号发生器。该信号发生器主要能 产生幅度和频率分别可调的正弦波、方波与三角波。实验结果表明,硬件电路结构简单,输出信号频 率稳定率优于10 - 3 ,幅值误差低于5 。 关键词: DDS 集成芯片 AD9850 信号发生-Describes the DDS (direct digital frequency synthesis) bas
  3. 所属分类:DSP program

    • 发布日期:2017-03-28
    • 文件大小:246538
    • 提供者:陈祥
  1. asias_dds

    0下载:
  2. 一个简易的信号源,具有多种波形发生功能还有扫频,调制,频率计等相关功能-My project is on Direct Digital Synthesiser using Verilog HDL.This project is doing by me on july 2009 in summer training at NIT Kurukshetra, India. This DDS system generate the square wave, Triangular wave,Sine wa
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-03-27
    • 文件大小:31857
    • 提供者:david
  1. 111

    0下载:
  2. 2fsk调制解调器的DSP实现,对于 2FSK,调制就是把输入数字序列变成适合于信道传输的变频正弦波(2FSK 信号)-2fsk modem DSP implementation, for 2FSK, modulation is to enter a number sequence into a suitable transmission channel frequency sine wave (2FSK signal)
  3. 所属分类:Communication

    • 发布日期:2017-04-02
    • 文件大小:268162
    • 提供者:白痴小明明
  1. ECG

    1下载:
  2. 心电信号仿真,利用多个三角波、正弦波叠加模拟心电信号波形-ECG simulation using multiple triangle wave, sine wave superimposed simulated ECG waveforms
  3. 所属分类:matlab

    • 发布日期:2017-03-31
    • 文件大小:113648
    • 提供者:冯婷
  1. 57578884yesignal

    0下载:
  2. sine wave generation
  3. 所属分类:Audio program

    • 发布日期:2017-04-05
    • 文件大小:368975
    • 提供者:Shrinivas
  1. Quartus

    0下载:
  2. 用vhdl编写的信号发生器源程序,可以产生正弦波,也可以根据需要产生其他波形-Prepared using vhdl source signal generator can produce sine wave, you can also produce other waveforms as needed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:375914
    • 提供者:LFwen
  1. cgv2

    0下载:
  2. 该程序能够根据用户的需求,即用户输入相应的参数,来画出对应的正弦或余弦函数的图形,并可以进伸缩和平移变换-The program can be based on user demand, that is, the corresponding user input parameters, to draw the corresponding sine or cosine function of the graphics, and can transform into the scaling and
  3. 所属分类:Graph program

    • 发布日期:2017-04-16
    • 文件大小:46732
    • 提供者:rooney
  1. ScientificCalculator

    0下载:
  2. 科学计算器,主界面具备以下功能: 1、通过直接输入表达式进行加、减、乘、除、乘方、开方、括号基本运算求值,需要考虑其符号优先级别; 2、加入三角函数,可进行正弦、余弦、正切、余切的运算; 3、对十进制数进行二进制、八进制、十六进制的转化; 4、实现弧度制的数与角度制的数互相转化。 -Scientific calculator, the main interface with the following functions: 1, through direct input ex
  3. 所属分类:GUI Develop

    • 发布日期:2017-04-10
    • 文件大小:1927073
    • 提供者:李怡璇
  1. TEST9

    0下载:
  2. DA0832 波形输出,支持正弦,余弦,线形,三角波-DA0832 waveform output, support for sine, cosine, linear, triangle wave
  3. 所属分类:Driver Develop

    • 发布日期:2017-03-29
    • 文件大小:978
    • 提供者:QiuZhixin
  1. sinegeneration

    0下载:
  2. initial information about sine wave generation
  3. 所属分类:Project Design

  1. SinusGen1

    0下载:
  2. sine wave vhdl code that generates sine wave output using logibox in xilinx
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:331323
    • 提供者:mala
« 1 2 ... 44 45 46 47 48 4950 »
搜珍网 www.dssz.com