CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL语言

搜索资源列表

  1. vhdl语言实现的16乘16的点阵显示设计代码

    1下载:
  2. vhdl语言实现的16乘16的点阵显示设计代码,调试通过,可借鉴-VHDL language to achieve the 16 by 16 dot matrix display design code, debug is passed, can learn from-vhdl language implementation of the 16 by 16 dot matrix display design code, debug through, we may learn-VHDL langu
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-13
    • 文件大小:3507730
    • 提供者:王晨
  1. 用VHDL语言将并行的8位数据换成串行输出

    1下载:
  2. 用VHDL语言将并行的8位数据换成串行输出-The parallel 8 is the data replaced with the serial output
  3. 所属分类:VHDL编程

    • 发布日期:2017-10-31
    • 文件大小:304895
    • 提供者:baiyouyun
  1. xinhaofashengqi

    0下载:
  2. 利用VHDL语言实现的多种波形信号包括方波、正弦波、脉冲信号的波形发生器-xinhaofashengqi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:4035276
    • 提供者:前沿部
  1. VHDL

    2下载:
  2. 基于vhdl语言的音乐播放器的设计代码。请各位可以根据自己的需要用。-Vhdl language-based music player, the design of the code. Members can be used according to their own needs.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-07
    • 文件大小:88752
    • 提供者:赵小孩
  1. multiplier-accumulator(vhdl)

    1下载:
  2. 用VHDL语言描述和实现乘法累加器设计,4位的被乘数X和4位的乘数Y输入后,暂存在寄存器4位的寄存器A和B中,寄存器A和B的输出首先相乘,得到8位乘积,该乘积再与8位寄存器C的输出相加,相加结果保存在寄存器C中。寄存器C的输出也是系统输出Z。(原创,里面有乘法部分和累加部分可以单独提出来,很好用) -With the VHDL language to describe the design and realization of multiplier-accumulator, four of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:967180
    • 提供者:jlz
  1. VHDL语言写的简易计算器

    3下载:
  2. 用VHDL写的简易计算器,包括加减乘除,除法器用加法器和乘法器组成-Write simple calculator with VHDL, division, including add, subtract, multiply and divide adder on time-multiplier and used
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-22
    • 文件大小:1018784
    • 提供者:倪萍波
  1. PID(VHDL)

    0下载:
  2. PID如何通过VHDL语言来实现,前来看看那这个文件吧-PID
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-03-23
    • 文件大小:2699
    • 提供者:wjz
  1. I2C_Interface(VHDL)

    0下载:
  2. I2C总线接口FPGA的实现代码,全部为VHDL语言源码文件,内附设计实用说明文档。-I2C bus interface FPGA implementation of the code, all source files for the VHDL language, included the design and practical documentation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:59745
    • 提供者:Field
  1. VHDLclock

    0下载:
  2. 这是用VHDL语言编写的数字钟。可以设置时分秒,还可以整点报时。-This is the VHDL language with the digital clock. When every minute can be set, but also the entire point of time.
  3. 所属分类:Document

    • 发布日期:2017-03-30
    • 文件大小:1303
    • 提供者:Henry
  1. FFT(VHDL)

    0下载:
  2. 数字信号处理fft算法计算,用fpga开发,vhdl语言写成-Digital signal processing fft algorithm using FPGA development, vhdl language
  3. 所属分类:Algorithm

    • 发布日期:2017-03-23
    • 文件大小:14831
    • 提供者:程钢
  1. VHDL123

    0下载:
  2. VHDL语言的实例,华为公司内部大规模数字逻辑设计的资料-Examples of VHDL language, Huawei-house large-scale digital logic design information
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:2042599
    • 提供者:苏海平
  1. VHDL

    0下载:
  2. 采用VHDL语言设计一个4通道的数据采集控制模块。系统的功能描述如下: 1.系统主时钟为100 MHz。 2.数据为16位-数据线上连续2次00FF后数据传输开始。 3.系统内部总线宽度为8位。 4.共有4个通道(ch1、ch2、ch3、ch4),每个通道配备100 Bytes的RAM,当存满数据后停止数据采集并且相应通道的状态位产生报警信号。 5.数据分为8位串行输出,输出时钟由外部数据读取电路给出。 6.具备显示模块驱动功能。由SEL信号设置显示的通道,DISPLAY
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5783271
    • 提供者:pengfu
  1. stopwatch

    0下载:
  2. VHDL语言设计的秒表,实现计时功能,实现报时功能,并且通过硬件实验。-VHDL language design stopwatch, timer function of the realization, the realization of time functions, and through hardware experiments.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1647747
    • 提供者:王蕊
  1. VHDL

    0下载:
  2. DDS产生正弦波(VHDL语言)用DDS产生3MHZ的正弦波,VHDL控制语言-DDS have a sine wave (VHDL language) 3MHZ generated by the DDS sine wave, VHDL control language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:640
    • 提供者:chenyubin
  1. vhdl

    0下载:
  2. VHDL语言例程集锦,语言:英文 内容<<Examples of VHDL Descr iptions>> <<-VHDL Language Guide,language: English
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:173245
    • 提供者:朱柏
  1. vhdl-arm-core

    0下载:
  2. 用vhdl语言实现arm内核,压缩包中有19个代码共同组成这个arm内核,程序比较大,应用时要注意那个代码是顶层实体。用quartus2软件即可打开仿真。-Vhdl language used arm core, compressed package code of 19 common core component of this arm, procedures, and application code should be noted that top-level entity. Used t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:41909
    • 提供者:杨帆
  1. vhdl

    0下载:
  2. 学习vhdl语言的实用教程,很详细,从零开始学起,简单易行,支持-Vhdl language learning and practical tutorial is very detailed, from scratch to learn, easy to support
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1851465
    • 提供者:lishaozhe
  1. VHDL

    0下载:
  2. 本文是基于VHDL语言的洗衣机控制器设计与仿真的源代码,并且内附详细解析,对初学者有很大的帮助-This article is based on the VHDL language, washing machine controller design and simulation of the source code, and included detailed analysis, there is a great help for beginners
  3. 所属分类:Communication

    • 发布日期:2017-03-30
    • 文件大小:257709
    • 提供者:
  1. VHDL

    0下载:
  2. 由于在网上很难下载到EDA技术-窦衡的PPT,所以本人经过学习后做成word,供大家下载。只针对VHDL语言部分和所有的程序。-Because the Internet is difficult to download to EDA technology- Douheng of the PPT, so I made after learning after the word, for all to download. Only for part of the VHDL language and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2547880
    • 提供者:陈叶飞
  1. VHDL

    0下载:
  2. (1)用VHDL语言编写程序,在EDA实验板上实现 (2)能正常计时。显示模式分为两种,即24小时制和12小时制。其中12小时制须显示上,下午(用指示灯显示)。时,分,秒都要显示。 (3). 手动校准电路。用一个功能选择按钮选择较时,分功能,用另一个按钮调校对应的时和分的数值。 用VHDL语言编写程序,在EDA实验板上实现 (4) 整点报时。 (5). 闹钟功能。 (6).秒表功能。-(1) using VHDL language program, in the EDA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:4061
    • 提供者:malon
« 1 2 34 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com