CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 乘法器

搜索资源列表

  1. 1.6运算器部件实验:乘法器

    0下载:
  2. 这个是用vhdl编写的乘法器,仅仅供大家参考-VHDL prepared by the multiplier, just for reference
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:150162
    • 提供者:李乐雅
  1. 16位快速乘法器

    1下载:
  2. VHDL语言实现的16位快速乘法器-VHDL of 16 rapid Multiplier
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2964
    • 提供者:乔安山
  1. 经典高速乘法器IP

    1下载:
  2. 乘法器是硬件设计中的很常见也很重要的一个模块,它的VHDL硬件实现很好的解决了软件编程中做乘法速度慢的问题,在实时高速系统应用中或DSP软核或数字信号处理硬件实现算法中,经常能使用到乘法器,所以经典的高速乘法器IP 很有参考价值-Multiplier is a common and important module in hardware designing.Its VHDL addresses the low speed of multiplication in software progra
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:309363
    • 提供者:czy
  1. 嵌入式系统试验报告-乘法器-VHDL语言

    0下载:
  2. 嵌入式系统的乘法器试验报告 包括源代码 用VHDl语言编写-Embedded System multiplier test report including source code language used VHDl
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:9869
    • 提供者:康抗
  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. VHDL语言写的简易计算器

    3下载:
  2. 用VHDL写的简易计算器,包括加减乘除,除法器用加法器和乘法器组成-Write simple calculator with VHDL, division, including add, subtract, multiply and divide adder on time-multiplier and used
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-22
    • 文件大小:1018784
    • 提供者:倪萍波
  1. multiplyingunit

    0下载:
  2. 其乘法器原理是:乘法通过逐项移位相加原理来实现,从被乘数的最低位开始,若为1,则乘数左移后与上一次的和相加;若为0,左移后以全零相加,直至被乘数的最高位-Its multiplier principle is: the sum of multiplication through each shift principle to achieve, from the lowest bit multiplicand to start, if 1, then the multiplier on the l
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:137159
    • 提供者:张华
  1. booth

    0下载:
  2. 基于verilog的booth算法的乘法器-Based on the booth algorithm verilog multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:697
    • 提供者:gyj
  1. multi16

    0下载:
  2. verilog 写的两种方式的乘法器 不错!-Verilog write the multiplier in two ways good!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:7350
    • 提供者:rayax
  1. GFmultiply

    0下载:
  2. Verilog hdl语言 伽罗华域GF(q)乘法器设计,可使用modelsim进行仿真-Language Verilog hdl Galois field GF (q) multiplier design, can use the ModelSim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1830
    • 提供者:许立宾
  1. Multi11Mulply

    0下载:
  2. 本程序是11位带符号位的乘法器,其中最高位为符号位(sign),中间7位是指数部分(Exponent),最后3位是尾数(Matissa)。表示数据的范围是-2^-63-----+2^64.该工程文件有完整的程序,以及波形,验证正确。-This procedure is the unsigned 11-bit multiplier, one of the highest for the sign bit (sign), are between 7 part Index (Exponent), th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:445206
    • 提供者:至诚
  1. Multiplier

    0下载:
  2. 用VHDL语言描述的几个乘法器实例,如串行阵列乘法器等-VHDL language used to describe a few examples of multipliers, such as array multipliers, such as serial
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:279815
    • 提供者:liuning
  1. FPGA

    1下载:
  2. 基于FPGA数字乘法器的设计:数字乘法嚣是目前数字信号处理中运用最广泛的执行部件之一,本文设计了三种基于FPGA 的数字乘法器.分别是移位相加乘法嚣、加法器树乘法器和移位相加一加法嚣树混合乘法器。通过对三种方案的仿真综合以厦速度和面积的比较指出了混合乘法器是其中最佳的设计方案-FPGA-based digital multiplier design: the number of multiplicative noise is the use of digital signal processin
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:147296
    • 提供者:南才北往
  1. mutiplier

    1下载:
  2. 用VHDL语言仿真乘法器设计, 经过modelsim仿真, synplify综合,并下载进FPGA验证-Multiplier design using VHDL, simulation, after modelsim simulation, synplify synthesis, and downloaded into a FPGA verification
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:222503
    • 提供者:赵牧
  1. multi

    0下载:
  2. 8位乘法器,Quters编译环境VHDL代码-pluter VHDL Quters
  3. 所属分类:Other systems

    • 发布日期:2017-05-02
    • 文件大小:559636
    • 提供者:gaoshang
  1. matrix3x3

    0下载:
  2. 3*3矩阵的乘法器代码!!! !!! !!! !!!!1-3* 3 matrix multiplier code~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:4140
    • 提供者:wjlsomeone
  1. 4_bit_mul

    0下载:
  2. 四位乘法器,可以实现两个四位二进制数的乘法。-4_bit_mul
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:733
    • 提供者:dwa
  1. jiaotongdengsheji

    0下载:
  2. 乘法器 简单的乘法器编译 用VHDL自己编的-Compiled using a simple multiplier multiplier VHDL own series
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:411859
    • 提供者:wuming
  1. VHDL乘法器的设计

    0下载:
  2. 基于VHDL语言的乘法器的详细设计实验报告。
  3. 所属分类:WEB源码

  1. 矩阵乘法器

    1下载:
  2. 基于乘法器ip核实现的矩阵乘法器,最大支持16*16的矩阵,基于VHDL编写,仅支持整数,浮点数类型请自行添加浮点数IP核支持。
  3. 所属分类:VHDL编程

    • 发布日期:2019-09-01
    • 文件大小:17306253
    • 提供者:hhhhhppppp
« 1 23 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com