CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 加法器

搜索资源列表

  1. add_sub_lab2

    0下载:
  2. 实验课的作业,包括半加器、全加器、加/减法器,使用逻辑图和VHDl描述,包括分析和报告。-experiment include the operation of a half adder, full adder, plus / subtraction device, and the use of logic diagram VHDl descr iption, including analysis and reporting.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:60734
    • 提供者:徐轶尊
  1. multiply

    0下载:
  2. 本文利用全加器、半加器,利用进位保留的思想,在前向割集中加入四级流水实现了乘法器的设计,提高乘法器的运算速度,并且介绍了乘法器的VHDL的程序编写过程以及代码,并给出了仿真波形-In this paper, the use of the full adder, half adder using carry-save ideological forward cutset added four water to achieve a multiplier design, to improve the
  3. 所属分类:Project Design

    • 发布日期:2017-12-08
    • 文件大小:344064
    • 提供者:刘雅琦
  1. 4weijianfaqi_verilog

    0下载:
  2. 四位加法器的verilog实现,用VHDL语言,附tb.v。-Verilog achieve four adder, using VHDL language, with tb.v.
  3. 所属分类:Driver Develop

    • 发布日期:2017-04-01
    • 文件大小:767
    • 提供者:JJ
  1. 8weijiafaqi

    0下载:
  2. 8位加法器的verilog实现。VHDL,单片机开发程序,数字逻辑与处理器基础实验,你懂d。-8 adder verilog implementation. VHDL, MCU development program, the digital logic and processor basic experiment, you know d.
  3. 所属分类:GDI-Bitmap

    • 发布日期:2017-04-12
    • 文件大小:943
    • 提供者:JJ
  1. my_multiplier

    0下载:
  2. 一个VHDL编的简单乘法器,基本原理设计如下图所示: 将两个操作数分别以串行和并行模式输入到乘法器的输入端, 用串行输入操作数的每一位依次去乘并行输入的操作数, 每次的结果称之为部分积, 将每次相乘得到的部分积加到累加器里, 形成部分和, 部分和在与下一个部分积相加前要进行移位操作。-A simple multiplier VHDL series, the basic principles of design as follows: two operands, respectively, ser
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-12
    • 文件大小:704
    • 提供者:Justin
  1. LYZ

    0下载:
  2. 描述加法器 用vhdl进行性加法器的组合和输入输出-adderDescribe the adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:44893
    • 提供者:刘彦振
  1. EDA

    0下载:
  2. EDA小程序,用VHDL语言设计七人表决器,四位加法器。-EDA small program design using VHDL seven people voting, four adder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:610
    • 提供者:露露
  1. test2

    0下载:
  2. 实验要求: (1)画出5位逐级进位和超前进位加法器的电路图,要求在图中表明输入、输出信号、中间信号等全部相关的信号,且信号命名应和图中的标注一一对应; (2)不能使用课本中的FOR循环语句,VHDL的赋值语句应和电路图一一对应; (3)VHDL代码和仿真波形要保存。 (4)关于超前进位加法器,可以参照课本P160设计。 (5) 要求提交设计报告,按照深大实验报告的标准格式,同时需要代码,仿真结果和综合电路图。 -The experimental requirements:
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:24262
    • 提供者:Jin
  1. adder

    0下载:
  2. 实验要求: (1)画出5位逐级进位和超前进位加法器的电路图,要求在图中表明输入、输出信号、中间信号等全部相关的信号,且信号命名应和图中的标注一一对应; (2)不能使用课本中的FOR循环语句,VHDL的赋值语句应和电路图一一对应; (3)VHDL代码和仿真波形要保存。 (4)关于超前进位加法器,可以参照课本P160设计。 (5) 要求提交设计报告,按照深大实验报告的标准格式,同时需要代码,仿真结果和综合电路图。 -The experimental requirements:
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:36713
    • 提供者:Jin
  1. texio-user-method

    0下载:
  2. T E X T I O 在V H D L 仿真与磁盘文件之间架起了桥梁,使用文本文件扩展V H D L 的仿真功能。本文介绍 TEXTIO 程序包,以一个加法器实例说明TEXTIO 的使用方法,最后使用ModelSim 对设计进行仿真, 并分析仿真结果。-TEXTIO between VHDL simulation and bridges the gap between the disk file, use a text file extension of VHDL simulation
  3. 所属分类:software engineering

    • 发布日期:2017-04-02
    • 文件大小:170880
    • 提供者:fang
  1. SOC_Code

    0下载:
  2. 加法器,原码补码乘法器,ROM设计,PC计数器等的VHDL详细代码-The source-code complement adder, multiplier, ROM design, such as PC counter of VHDL code in detail
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:94409
    • 提供者:dangkan
  1. admod15

    0下载:
  2. 在Xllinx ISE平台上,利用VHDL语言实现模15加法器的运算-The programme realize the adder of mod 15 through VHDL on Xllinx ISE.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-09
    • 文件大小:1956587
    • 提供者:木白
  1. AnJian_1602

    0下载:
  2. 计算器设计。采用了现场可编程逻辑器件FPGA设计,并基于VHDL语言实现加减乘除功能,并用十进制显示在数码管上。计算部分为加法器、减法器、乘法器和除法器组成。使用Altera公司的QuartusII开发软件进行功能仿真并给出仿真波形,并下载到试验箱,用实验箱上的按键开关模拟输入,用数码管显示十进制计算结果。通过外部按键可以完成四位二进制数的加、减、乘、除四种运算功能,其结果简单,易于实现。-Calculator design. Using a field programmable logic d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-31
    • 文件大小:13138994
    • 提供者:陈勒
  1. 1

    0下载:
  2. 用VHDL语言设计全加器的设计方法,使用元件例化的方法设计多位加法器-VHDL language design full adder design method using component instantiation approach to design multi-bit adder
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:909
    • 提供者:赵丽丽
  1. ISE_lab6

    0下载:
  2. 加法器的VHDL源代码 适合本科生学习使用-Adder VHDL source code for undergraduate learning to use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:460049
    • 提供者:岳宇博
  1. fast-carry-adder-4d

    0下载:
  2. VHDL实现的快速四位加法器,就是这样,嗯,适合入门-VHDL achieve rapid four adders, exactly, ah, suitable for entry
  3. 所属分类:Other systems

    • 发布日期:2017-04-30
    • 文件大小:204487
    • 提供者:evelyn wang
  1. 加减法器

    0下载:
  2. 可实现两个4bit补码的加法及减法,有溢出提示(adder with overflow hint)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:239616
    • 提供者:tyne
« 1 2 ... 8 9 10 11 12 13»
搜珍网 www.dssz.com