CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 加法器

搜索资源列表

  1. multi8x8

    0下载:
  2. 该源码为8位乘法器的VHDL语言描述,由一个8位右移寄存器,2个4位加法器例化成8位加法器,一个16位数据锁存器构成。采用移位相加的方式,从被乘数的低位开始,与乘数的每个位移位相加求和。最后实现其乘法器功能。-The source code for the 8-bit multiplier in VHDL language to describe, from an 8-bit right shift register, two 4-bit adder example into 8-bit add
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:393061
    • 提供者:feng
  1. add4

    0下载:
  2. 一个用vhdl代码设计的简单的加法器程序-it is a code designed by vhdl ,and it is used for adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:24539
    • 提供者:jim
  1. add4

    0下载:
  2. 一个四位加法器的VHDL语言实现,并通过编译测试-A four-adder realization of the VHDL language, and compile test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:44119
    • 提供者:Robert Shen
  1. 123654vhaing

    0下载:
  2. 八音自动播放电子琴设计 vhdl源码,文件内有具体注释 [VHDL-XILINX-EXAMPLE26.rar] - [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9- -Octave electronic keyboard play aut
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:231894
    • 提供者:杨领超
  1. BCD_adder_4digit

    0下载:
  2. 首先将最大四位的整数转换成BCD码,然后用VHDL设计一个4位BCD码加法器,-BCD_adder_4digit
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:961760
    • 提供者:小乔
  1. OPERATION_UNIT

    0下载:
  2. 本程序为加密芯片内部加密运算单元部分,包括32位减法器、移位寄存器、加/减法器、寄存器等,对密码芯片运算部分设计具有一定指导意义-The procedure for encryption chip unit internal encryption algorithms, including 32-bit subtraction, and shift register, add/subtraction, and register and so on password-chip design has
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2363
    • 提供者:zhaohongliang
  1. 100vhdl_example

    0下载:
  2. vhdl语言的100个例子 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 -VHDL language, VHDL language 100 examples of 100 cases of the first one cases of the control p
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:223595
    • 提供者:光明顶
  1. ps

    0下载:
  2. RS(204,188)译码器的设计 异步FIFO设计 伪随即序列应用设计 CORDIC数字计算机的设计 CIC的设计 除法器的设计 加罗华域的乘法器设计-RS (204188) decoder design of asynchronous FIFO design application design sequence was pseudo-CORDIC design of digital computer design CIC divider design Le Hua
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:48108
    • 提供者:苏晓东
  1. addr8

    0下载:
  2. 8位加法器VHDL源程序,实验题能够在EDA开发系统中运行-8-bit adder VHDL source code, experimental questions can be developed in the EDA system to run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:216953
    • 提供者:六月雨
  1. add_1p

    0下载:
  2. 数字信号处理的fpga实现,用VHDL编程设计加法器-Digital signal processing to achieve the FPGA with VHDL Programming adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1373
    • 提供者:songjunmin
  1. perfect_machine_yeat

    0下载:
  2. 同步4×4加法器VHDL源代码!
  3. 所属分类:VHDL编程

    • 发布日期:2017-11-07
    • 文件大小:47358
    • 提供者:fourstudy
  1. add

    0下载:
  2. vhdl的最简单的加法器,quarters2编译通过-The most simple vhdl adder, quarters2 compiled through
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:66778
    • 提供者:lc
  1. Mars_EP1C6F_fundemantal_demo

    0下载:
  2. FPGA 开发板源码。芯片为Mars EP1C6F.VHDL语言。可实现一些基本的功能。如乘法器、加法器、多路选择器等。-FPGA development board source. Chips for the Mars EP1C6F.VHDL language. Can achieve some of the basic functions. Such as multiplier, adder, such as MUX.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1099245
    • 提供者:chenlu
  1. top_pnadd32

    0下载:
  2. 32位元浮点数加法器,用于以VHDL编写的32位元CPU-32 bits floating-point Add
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2289
    • 提供者:朋友
  1. VHDLexample

    0下载:
  2. 步进电机控制,直流电机控制,加法器,状态机等等经典的VHDL例子程序。-Stepper motor control, DC motor control, adders, state machines, etc. The classic example VHDL procedures.
  3. 所属分类:其他小程序

    • 发布日期:2017-04-15
    • 文件大小:4899
    • 提供者:张庆东
  1. Adder

    0下载:
  2. 实现十六位加法器,是书籍上配套的应该可用-This is an 16 bit adder using vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:105091
    • 提供者:maxpayne
  1. add8

    0下载:
  2. 这是用VHDL实现的8位加法器,对新手有点帮助。-This is achieved using VHDL adder 8, a little help to novices.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:159103
    • 提供者:张四全
  1. 8-bit-Multiplier

    0下载:
  2. 一种基于加法器树方法的8为乘法器的VHDL源码,该方法虽然相对占有资源多,但仿真快-VHDLSourceProgramof8-bit-Multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:742
    • 提供者:杨波
  1. VHDL02

    0下载:
  2. 加法器和全加器参考程序,由VHDL代码编写。初学者可以看一看。内容无毒,下载请杀毒使用。-Adder reference procedures, prepared by the VHDL code. Beginners can take a look at. Content-free, download antivirus, please use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:990
    • 提供者:yanyinhong
  1. Paralleladder

    0下载:
  2. 并行加法器VHDL代码,可实现五位加法运算-VHDL code parallel adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:739
    • 提供者:赵珑
« 1 2 3 4 5 6 78 9 10 11 12 13 »
搜珍网 www.dssz.com