CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 电子琴

搜索资源列表

  1. FPGA_27eg

    1下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1279333
    • 提供者:
  1. FPGA

    0下载:
  2. 系统应用FPGA技术,通过VHDL编程,在CPLD上实现。电子琴的基本原理是产生各个音符对应的频率,将频率放大后驱动喇叭发出音响。该电子琴包括手动弹奏与自动演奏两种功能,其中手动弹奏时还可录音回放。文中叙述了电子琴的设计原理和分块实现的方法,详细介绍各模块的设计及模块之间的连接组合方法,还包括电子琴的使用说明。
  3. 所属分类:软件工程

    • 发布日期:2014-01-17
    • 文件大小:48657
    • 提供者:严术骞
  1. HardwareflowerdesignEDAdesignreport

    0下载:
  2. 硬件电子琴电路设计EDA设计报告,开发环境VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:48263
    • 提供者:百事可乐
  1. dianziqin

    2下载:
  2. 简易电子琴设计毕业论文 vhdl (1)设计一个简易的八音符电子琴,它可通过按键输入来控制音响。 (2)演奏时可以选择是手动演奏(由键盘输入)还是自动演奏已存入的乐曲。 (3)能够自动演奏多首乐曲,且每首乐曲可重复演奏。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:125026
    • 提供者:haiyang
  1. dianziqinsheji

    1下载:
  2. EDA技术中用VHDL语言设计电子琴实验代码。 里面含设计图,能实现8音电子琴。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:100315
    • 提供者:刘小霞
  1. bayinhe

    0下载:
  2. 八音自动播放电子琴设计 vhdl源码,文件内有具体注释
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2015
    • 提供者:黄利
  1. clock

    0下载:
  2. 万年历-八音自动播放电子琴设计 vhdl源码,文件内有具体注释
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:332184
    • 提供者:JK
  1. vhdlpiano

    0下载:
  2. 这是一个用vhdl写的电子琴的小程序(整个工程文件),希望对大家有所帮助
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1103753
    • 提供者:kljlj
  1. Music_Player

    0下载:
  2. 用vhdl实现的电子琴中的音乐播放模块,可以实现自动播放以及手动播放功能
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2748
    • 提供者:万玉龙
  1. dianziqin

    0下载:
  2. 本例为电子琴VHDL程序原代码,电子琴,可实现基本功能
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:49646
    • 提供者:liujingyang
  1. 123654vhaing

    0下载:
  2. 八音自动播放电子琴设计 vhdl源码,文件内有具体注释 [VHDL-XILINX-EXAMPLE26.rar] - [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9- -Octave electronic keyboard play aut
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:231894
    • 提供者:杨领超
  1. dianzi

    0下载:
  2. 电子琴电路设计 vhdl 含有详细介绍波形图-Electric circuit design VHDL contains detailed waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:49972
    • 提供者:龙丽丽
  1. electronicorgan

    0下载:
  2. 电子琴VHDL程序包含有:顶层程序、音阶发生器程序、数控分频模块程序和自动演奏模块程序-VHDL flower contains are: top-level procedures, scale generator procedures, numerical control frequency module procedures and module procedures performed automatically
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:50091
    • 提供者:苏芬
  1. VHDL-based-curriculum-design

    0下载:
  2. 基于VHDL实现的电子琴课程设计 VHDL-based curriculum design to achieve the keyboard-VHDL-based curriculum design to achieve the keyboard
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:53069
    • 提供者:wangwenhao
  1. Perfect-VHDL

    1下载:
  2. 1 步进电机定位控制系统VHDL程序与仿真 2 采用等精度测频原理的频率计程序与仿真 3 URAT VHDL程序与仿真 4 自动售货机VHDL程序与仿真 5 电子琴程序设计与仿真 6 出租车计价器VHDL程序与仿真 7 DAC0832 接口电路程序 8 FSK调制与解调VHDL程序及仿真 -1stepper motor positioning control system for VHDL procedures and simulation
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-17
    • 文件大小:1313422
    • 提供者:liu
  1. vhdl2

    0下载:
  2. 基于VHDL电子琴的制作,包括源程序 等-VHDL-based flower production, including source code and so on. . . .
  3. 所属分类:software engineering

    • 发布日期:2017-12-05
    • 文件大小:186982
    • 提供者:韩瑞峰
  1. VHDL-based-music-player-design

    0下载:
  2. 为本人2012年下学期的EDA大作业,含 设计文档 和 源代码。所设计的系统在网上很难找到(当时我就没找到,特别是源码),二本系统又具有一定的实用性,只要在ROM中存储不同的歌曲编码,即可播放不同的乐曲。 文章详细介绍了“具有自动乐曲演奏功能的电子琴”的FPGA设计原理与方法,使用了ROM存储音符和节拍,矩阵键盘控制整个系统。 源码注释清楚,容易理解。 欢迎访问我的博客:http://blog.csdn.net/enjoyyl-For the I semester of 20
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4927169
    • 提供者:刘志
  1. MIAODZQ

    0下载:
  2. vhdl电子琴实现 包括点阵显示音符 输出简单的·歌曲-electronic keyboard
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:87850
    • 提供者:缪照浜
  1. music

    0下载:
  2. VHDL电子琴,采用vhdl编写,通过蜂鸣器发出7种不同频率的音阶实现简易电子琴功能。-VHDL electronic organ, written by VHDL, the realization of simple electronic organ function in 7 different frequency scale through the buzzer.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:198940
    • 提供者:Ronge
  1. piano

    0下载:
  2. 电子琴 原创 作业 VHDL 采用计数器分频,内含简单儿歌数首,爱迪克EDA实验箱,有数码管与LED显示,采用键盘式输出,两行,中音高音。(Electronic piano original work VHDL, using counter frequency division, contains a few simple nursery rhyme, Edik EDA experimental box, there are digital tube and LED display, usin
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:1101824
    • 提供者:qengleikangjen
« 1 2 34 5 »
搜珍网 www.dssz.com