CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog DECODER

搜索资源列表

  1. shumaguandongtaixianshi

    0下载:
  2. 实现开发板上8 个数码管动态显示0~7。通过这个实验,掌握采用Verilog HDL 语言编程实现7 段数码管显示译码器以及数码管动态扫描显示的方法。-Development board 8 digital tube dynamic display from 0 to 7. Through this experiment, master the 7-segment LED display decoder and digital tube dynamic scan display method
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:459248
    • 提供者:王恒
  1. base-paper

    0下载:
  2. this the base paper for tcm decoder in verilog-this is the base paper for tcm decoder in verilog
  3. 所属分类:Editor

    • 发布日期:2017-11-20
    • 文件大小:1138195
    • 提供者:anish
  1. my38decoder

    0下载:
  2. 这个是用verilog语言写的一个38译码器的程序,在DE2最小系统板里验证过 -This is to use verilog language is written a and decoder program, in DE2 minimum system board validated
  3. 所属分类:source in ebook

    • 发布日期:2017-11-12
    • 文件大小:3854622
    • 提供者:刘浩
  1. newViterbi217

    1下载:
  2. 基于IEEE802.11n标准,采用verilog语言设计的(2,1,7)卷积码viterbi译码器,支持1/2,2/3,3/4,5/6四种码率的译码,以测试无误-IEEE802.11n standard Verilog language design (2,1,7) convolutional code viterbi decoder support 1/2, 2/3, 3/4, 5/6 four bit rate decoding to test and correct
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-11-13
    • 文件大小:18868
    • 提供者:logic
  1. 3_8yimaqi

    0下载:
  2. FPGA verilog 3-8 译码器-FPGA verilog 3-8 decoder
  3. 所属分类:Other systems

    • 发布日期:2017-12-01
    • 文件大小:240723
    • 提供者:李冰
  1. decoder_3to8

    0下载:
  2. decoder 3 to 8 verilog program
  3. 所属分类:Other systems

    • 发布日期:2017-12-07
    • 文件大小:17860
    • 提供者:ckkk
  1. decoder_5to32

    0下载:
  2. verilog 5 to 32 decoder
  3. 所属分类:Other systems

    • 发布日期:2017-12-05
    • 文件大小:37399
    • 提供者:wimiu
  1. RS_dec

    0下载:
  2. rs(204,188)译码器,verilog实现,乘法器采用比特异或方式实现-rs (204,188) decoder, verilog achieve multiplier used than specific or way
  3. 所属分类:Other systems

    • 发布日期:2017-11-12
    • 文件大小:13419
    • 提供者:洋洋
  1. ymq_38

    0下载:
  2. 此代码运用verilog语言实现38译码器,在led来显示结果。-This code use verilog language realization and decoder, in led to display the results.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:2271723
    • 提供者:边茂宣
  1. bluespec-reedsolomon_latest.tar

    0下载:
  2. Reed Solomon decoder implemented in VHDL/Verilog. Includes ASM s
  3. 所属分类:assembly language

    • 发布日期:2017-12-03
    • 文件大小:33344
    • 提供者:ahmed
  1. decoder_3_8

    0下载:
  2. FPGA的3-8译码器,使用Verilog编写-3-8 FPGA decoder in Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:32335
    • 提供者:duan
  1. q_74ls138

    0下载:
  2. 在quartus II 9.1上用verilog原理图形式实现的74ls138功能的38译码器-38 of 74ls138 features achieve verilog schematic form in quartus II 9.1 decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:230700
    • 提供者:黄灼泉
  1. eda-Lab-report

    0下载:
  2. 三线八线译码器、数据选择器、数据比较器、二进制编码器、译码器的verilog语言输入方法-Three line eight line decoder, data selector, comparator, the binary encoder and decoder of verilog language input method
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:1186536
    • 提供者:鸟语花香
  1. 635026760674375000

    0下载:
  2. verilog语言编写的一些数字器件.包括译码器,编码器,D触发器等-Verilog language of some digital devices. Including decoder and encoder, D flip-flop, etc
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-29
    • 文件大小:2759
    • 提供者:周迅
  1. C3_8

    0下载:
  2. fpga verilog HDl 38译码器 组合逻辑电路-fpga Verilog HDL 38 decoder combinational logic circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:2974464
    • 提供者:
  1. Control_Display

    0下载:
  2. Controlador de display siete segmentos en verilog El archivo contiene selector decodificador multiplexor y archivo para simulacion Sevent segment dispay controler in verilog for basys nexys2 nexys3 fpga boards This file have a decoder, selector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:3155
    • 提供者:megasdra
  1. adsawfd

    0下载:
  2. 用Verilog HDL设计3线-8线译码器,ena是译码器的使能控制端,当ena=1时译码器工作,ena=0时译码器被禁止,8个输出均为高电平 用Verilog HDL设计具有三态输出的8D锁存器。-3-to-8 line decoder, ENA is designed using Verilog HDL the decoder enable control terminal, when ena = 1 time decoder, ENA = 0 time decoder is disa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:596
    • 提供者:赵玉著
  1. project2_1

    0下载:
  2. 3:8译码器,HDl verilog语言编写,能在DE2上运行-3:8 decoder, HDl verilog language, able to run on the DE2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-05
    • 文件大小:244719
    • 提供者:董凯明
  1. project2_2

    0下载:
  2. 7段译码管,用于显示数字,HDl verilog语言编写,能在DE2上运行-7 segment decoder tube used to display numbers, HDl verilog language, can be run on the DE2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:267130
    • 提供者:董凯明
  1. Enc_With_Punc---2011-11-28-v3.0

    0下载:
  2. Viterbi 译码打孔和去打孔代码, ,VERILOG 代码,自己写的,包含时钟打孔,-Viterbi Decoder With Puncture and Depuncture, Verilog Code,clock puncture ,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2496271
    • 提供者:
« 1 2 ... 5 6 7 8 9 1011 12 13 »
搜珍网 www.dssz.com