CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog FPGA

搜索资源列表

  1. ACTEL-FPGA-1602(Verilog)

    0下载:
  2. 1602液晶显示程序,用verilog写的!-1602 LCD program, written using verilog!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:4248
    • 提供者:wns
  1. Verilog

    0下载:
  2. 田耘《无线通信FPGA设计》书中例子的Verilog代码-Tian Yun, " Wireless Communications FPGA design" book example of Verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:131483
    • 提供者:lin
  1. FPGA-RAM-Verilog

    2下载:
  2. 用Verilog语言编写的FPGA,对波形数据用RAM存储-Using Verilog language FPGA, using the waveform data stored in RAM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-08-25
    • 文件大小:4847616
    • 提供者:何恒盛
  1. beep

    0下载:
  2. verilog写的控制喇叭的FPGA程序。-written in Verilog FPGA speaker control procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:202565
    • 提供者:sdffer343
  1. FPGA-DDS

    0下载:
  2. 在FPGA内,以查表方式实现频率直接合成器(DDS)功能。verilog源代码-In the FPGA in order to achieve the look-up table means the direct synthesizer frequency (DDS) feature. verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1937
    • 提供者:niuqs
  1. Verilog-SRAM

    4下载:
  2. 用verilog hdl语言编写的fpga与片外sram 的读写控制-With the verilog hdl language fpga sram chip with read and write control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-09-19
    • 文件大小:57344
    • 提供者:yishuihan
  1. Verilog

    0下载:
  2. FPGA经典例子,可以让大家更好的学习Verilog HDL-Classic example of FPGA, allowing you to better learn Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:113239
    • 提供者:规范
  1. lcd

    0下载:
  2. 基于fpga的tft液晶驱动,控制器是ILI9325,是verilog写的,16位并口模式,我上网上搜索了很久都没找到的,-Fpga based on the tft LCD driver, controller ILI9325, is written in verilog, 16-bit parallel mode, on-line search for a long time I did not find,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-02
    • 文件大小:3734528
    • 提供者:第三方
  1. VERILOG-jpeg

    8下载:
  2. 用Verilog语言在FPGA上实现JPEG图片的解码,附带testbench-With the Verilog language in the FPGA to achieve JPEG image decoding, with testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-08-25
    • 文件大小:103751
    • 提供者:ken
  1. verilog

    2下载:
  2. verilog描述的以太网MAC层源代码,功能正确,已经在FPGA开发板上测试!需要的赶紧下-verilog descr iption of the Ethernet MAC layer source code, function correctly, has been tested in the FPGA development board! Need to hurry the next! ! !
  3. 所属分类:source in ebook

    • 发布日期:2014-12-20
    • 文件大小:55870
    • 提供者:WangYong
  1. counter

    0下载:
  2. 用Verilog HDL语言实现FPGA的频率等精度测量。(已经过验证)-Using Verilog HDL language, such as FPGA frequency measurement accuracy. (Has already been verified)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-14
    • 文件大小:2582892
    • 提供者:double
  1. Verilog_module

    1下载:
  2. Verilog编写基于FPGA的鉴相器模块-Write Verilog FPGA-based phase detector module
  3. 所属分类:3G develop

    • 发布日期:2017-03-24
    • 文件大小:457720
    • 提供者:zhh
  1. cos

    0下载:
  2. FPGA实现正弦,余弦的计算,verilog语言-FPGA realization of sine, cosine calculation, verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:812
    • 提供者:霍东建
  1. ps2_keyboard

    0下载:
  2. FPGA PS2键盘驱动设计,使用软件QuartusII6.0 verilog-FPGA PS2 keyboard-driven design, the use of software QuartusII6.0 verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:832002
    • 提供者:张一
  1. Verilog

    0下载:
  2. fpga使用代码大全,很有用的,,谢谢下载,我没什么说的了,住学习愉快-fpga using the Code Complete, very useful, Thank you to download, I have nothing to say about it, learning to live happily
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:244663
    • 提供者:苟春国
  1. 10100MIP

    1下载:
  2. 以太网10100M IP核Verilog源码(可综合)\以太网10-100M IP核Verilog源码,可综合-10100M IP Ethernet core Verilog source code (which can be integrated) \ 10-100M IP Ethernet core Verilog source code can be integrated
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:740439
    • 提供者:打狗队
  1. sdr_c_trl_verilog

    0下载:
  2. SDRAM 控制器的Verilog代码 经过综合验证过的.无截压密码-SDRAM controller Verilog code comprehensive test after all. No cut-off pressure Password
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:12306
    • 提供者:曹大壮
  1. 8051core-Verilog

    1下载:
  2. 8051的verilog内核,fpga里实现8051的话用得上-8051 Verilog cores, fpga achieve useful 8051 words
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:52191
    • 提供者:li
  1. fpgaPCI

    1下载:
  2. fpga开发pci的verilog,不可多得的源代码。-FPGA development pci of verilog, rare source code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:418617
    • 提供者:王军
  1. verilog

    0下载:
  2. 组成原理的大作业,写一个计算器,用verilog语言写的-The composition of the major principles of operation, write a calculator, using the language written in Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8944097
    • 提供者:陈江
« 1 2 3 45 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com